• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(211)
  • 리포트(197)
  • 시험자료(13)
  • 방송통신대(1)

"tc td" 검색결과 181-200 / 211건

  • 열기관 카르노 실험 예비 보고서
    Heat Engine/Gas Law Apparatus (TD-8572) (Figure 4(b)피스톤 직경: 32.5 mm ± 0.1 mm피스톤과 단의 질량: 35.0 g ± 0.06 ... 방법으로 운전되는 열기관은 매우 특별한 것이며 이러한 열기관을 Carnot엔진이라고 부른다.Carnot 엔진 순환을 구성하는 4개의 단계는 다음 순서로 진행된다.1단계 : 온도가 TC인 ... 다시 내려간다.4단계 : 온도 TC의 차가운 열저장고와 접촉을 유지하면서 계는 단계 2의 역방향으로 가역 등온과정을 거쳐서 차가운 열 저장고에의 열량을 내어놓고 원래의 상태로 되돌아간다
    리포트 | 6페이지 | 1,000원 | 등록일 2008.04.08
  • 역류성식도염 케이스
    ~26ctC0227.723~26BE(B)0.3-2~2BE(ecf)0.9-2~2O2SAT95.696~97Ⅴ. ... 1.15PTT37.637.227~43.34) ABGA(blood)12/7참고치PH7.3647.35~7.45PCO247.135~45PO281.580~90HCO3.act26.322~26HCO3.std24.722
    리포트 | 9페이지 | 3,500원 | 등록일 2010.10.05
  • 초등화학실험보고서
    그 상대 오차가 0.01%보다 크다면, 실험실에서는 그 용기를 미리 검정하여 눈금을 보정한 후에 사용해야 한다.2)용기의 부피에는 "담겨있는 액체의 부피(TC : to contain ... )"를 표시하는 경으와 "쏟아져나오는 액체의 부피(TD : to deliver)"를 표시하는 두가지 경우가 있다.3) 유리 용기의 부피를 검정 : 용기 씻은 후 증류수 담고 일정한
    리포트 | 10페이지 | 1,500원 | 등록일 2010.12.09
  • 프로젝트 관리
    = 6.83 + 5.67 = 12.5 EST5 = Max{EST3+TD,EST3+TE } = 19.5 EST6 = Max{EST4+TF, EST3+TE } = 18.33 EST7 ... S) 을 구함 EST1= 0 EST2= EST1+ TA = 0 + 6.83 = 6.83 EST3= EST2+ TB = 6.83 + 5.50 = 12.33 EST4 = EST1 + TC
    리포트 | 30페이지 | 3,000원 | 등록일 2010.12.17
  • 부피 재는 용기의 검정 및 화학분석(Chemical analysis) 관련용어
    실험의 결과과정에서 오차값을 알기위한 중요한 사항이다.부피재는 용기의 종류- TC (to contain)메스실린더, 메스플라스크, volumetric flask비커는 정확한 부피의 ... 측정이 불가능하므로 어림측정의 경우에만 사용하고, 메스플라스크는 눈금이 새겨진 최대용량에 해당하는 전체의 총부피가 필요할 경우에 사용한다[출처] 부피측정 기구 사용법|작성자 김규진- TD
    리포트 | 9페이지 | 2,000원 | 등록일 2010.01.08
  • [알고리즘 트레이닝 북] 전쟁게임
    Ah As 4c 3s 7d Jc 5h 8s Qc Kh Td 3h 5c 9h 8c Qs 3d Ks 4d Kd 6c 6s 7h Qh 3c Jd2h 8h 7s 2c 5d 7c 2d Tc
    리포트 | 6페이지 | 3,000원 | 등록일 2009.01.17
  • 초 에너지 절약형 건물(대전 한국 에너지 기술연구원)사진,표 첨부
    가변풍량 단일덕트 방식HS = 0.29Q(tr - td) ········· [식1]단 HS = 실내 현열부하 (Kcal/h)Q = 송 풍 량 (㎥/h)tr = 실내 온도(℃)td = ... 파악하는 것이 중요하다.2) VAV UNIT의 최대, 최소 풍량각 ZONE UNIT의 최대, 최소 풍량은 식[2] ~ [5]에서 산출된다.Qs = SHs / 0.288 (Tr - Tc ... 송풍 온도(℃)상기의 [식1]에서 송풍량(Q)를 일정하게 유지하는 경우, 실내 부하의 변화에 따라 Δt 즉, tr-td를 조절해야 하며 이때 실내 온도가 설계 조건으로 일정하게 주어진
    리포트 | 35페이지 | 4,000원 | 등록일 2008.02.25 | 수정일 2022.04.01
  • [계측센서] 비등 열전달 성능실험 및 계측
    : Metal Temperaturetc : Fluid Temperaturedt = tm - tc** 온도차(tm - tc)와 Heat Flux({PHI)의 관계를 log-log Graph ... 측정횟수1234567891011HF5%18.218.718.718.719.219.219.119.819.218.220.110%36.737.435.637.036.536.937.137.237.436.836.2TD5% ... 1.431.401.701.761.701.671.871.681.861.701.8110%2.212.141.952.332.161.881.872.352.922.521.88HF: Heat Flux =Q/A ({PHI/㎾.m-2)TD
    리포트 | 6페이지 | 1,000원 | 등록일 2005.05.21
  • W-CDMA[통신 세대별 분류(1세대,2세대,2.5세대,3세대,3.5세대)]
    : UTRA TDD(유럽식), TD-SDCDMA(중국식), CDMA/TDMA 방식 IMT-SC : UWC-136 (위성통신방식), TDMA 방식 IMT-FT : DECT 기반의 3G ... W-CDMAIMT-DS : W-CDMA (비동기식 3G), CDMA 방식 IMT-MC : cdma2000 1x cdma2000 1xEV/DO (동기식 3G), CDMA 방식 IMT-TC
    리포트 | 38페이지 | 3,000원 | 등록일 2008.03.30
  • [분석화학실험] 부피측정기구 검정
    실험제목부피측정용 유리기구의 검정실험목적실험에서 가장 정확한 오차 없는 결과를 얻으려면, 옮기거나 담은 부피를 정확히 측정하기 위하여 부피측정용 유리 기구(뷰렛, 피펫, 플라스크 등)를 검정하여야 한다. 이 실험은 저울사용과 부피 측정용 기구를 다루는 기술을 터득하기 ..
    리포트 | 4페이지 | 1,000원 | 등록일 2006.09.10
  • 화씨온도를 섭씨온도로 바꾸는 프로그램
    ;tc = ((tf - 32) * 5) / 9;return tc;} ... //화씨 온도 ---> 섭씨 온도#include using namespace std;float convert(float);int main(){float tempfer;float tempcel ... n here's the temperature in celsius:";cout < tempcel < endl;return 0;}float convert(float tf){float tc
    리포트 | 1페이지 | 1,000원 | 등록일 2003.04.26
  • 전염병 관리
    경우 평균 8-10년 만에 에이즈가 발병한다고 한다.① 원인 : HIV는 CD4라는 표면 항원을 가진 위해 지도부딘(zidovudine, AZT), 라미부딘(lamivudine, 3TC ... 12시MMR영유아생후12-15개월만4-6세날짜: 월-금시간: 9-12시B형간염영유아생후0,1,6개월-날짜: 월-금시간: 9-12시수두영유아생후12-15개월-날짜: 월-금시간: 9-12시Td만11세 ... 접종 후 4-8주경과 후DTaP추가접종4차생후15-18개월3차 접종 후 9개월 이상경과 후DTaP5차만4-6세 사이DTaP6차만14-16세 사이6차 접종 후 매10년마다 추가접종Td
    리포트 | 9페이지 | 1,000원 | 등록일 2008.05.26
  • [전자회로] 전자회로 clipper clamper실험 예비레포트
    클램퍼 회로의 전체적인 충전시간 Tc는 5(RD1C1)이다. RD1은 다이오드의 벌크저항이다. 클램퍼 회로의 전체적인 방전시간 TD는 5(RLC1)이다.
    리포트 | 2페이지 | 1,000원 | 등록일 2004.05.09
  • [회로이론] RC 직렬회로 실험
    -14.9td12.512.5812.4912.5211.27-11.09328tc35.0635.735.3435.3732.43-9.1td34.1234.134.034.0732.43-5.06225.7114tc30.929.629.5330.0125.72 ... -16.68td27.927.927.8427.8825.72-8.4328tc81.5280.8880.3580.9274.02-9.32td76.5776.7876.4176.5974.01-3.493 ... 시상수 RC를 측정할때는 tctd값이 비록 각각은 이론값과 약간의 오차를 보였지만 두 값의 측정치는 놀라울 정도로 같았다.
    리포트 | 6페이지 | 1,000원 | 등록일 2002.06.18
  • 적외선 송수신기를 이용한 음성 및 영상정보표시기 제작
    재료목록품명규격수량단가금액ICTC9148 16PIN1TC9150 24PIN1NE555 8PIN2HD74LS00P 14PIN2AT89C51 40PIN1TD62703AP3AT28C641HM6264
    리포트 | 33페이지 | 3,000원 | 등록일 2008.12.19
  • [열분석법]고분자의 열분석방법
    TcTd는 많이 영향을 받음.가열-냉각 시스템: -170℃~500℃ 범위의 온도로 고안됨.T 신호 증폭기Recorder: T가 시간의 함수로 기록됨.Atmosphere 조절 시스템
    리포트 | 4페이지 | 1,000원 | 등록일 2005.12.09
  • 디지털회로
    1 00 1 0 100010 1 0 10 1 0 001110 1 0 00 0 1 100010 0 1 10 0 1 000110 0 1 00 0 0 100010 0 0 10 0 0 0TD ... TC TB TAD+ C+ B+ A+D C B A011101110000TQ(t+1)Q(t)상태 그래프12.4 (a) T플립플롭을 사용하여 4비트 동기식 2진 카운터를 설계하라****
    리포트 | 15페이지 | 3,000원 | 등록일 2006.12.25
  • [화학공학과 화학공학 실험] 분 몰랄 부피 측정
    다른 종류의 유리기구는 그들의 표시된 부피를 옮기도록(To Deliver, "TD") 눈금이 매겨져 있다. ... (그림 1 및 표 참조) 대부분의 플라스크는 TC 20℃ 라고 표시되어 있는데, 이것은 20℃에서 표시된 부피를 담도록(To Contain) 눈금이 매겨져 있다는 것을 의미한다.
    리포트 | 33페이지 | 1,000원 | 등록일 2008.12.11
  • [화공생명공학실험] 폴리스타이렌중합공정 Simulation 실험보고서
    {f_n \ = \ 1 over u ({ 1 + 1 over u})^-n \ ( n-1 over u k_tc + 2k_td )(2-9){u \ = \ {k_p M} over {2(k_tc ... + k_td )R}(2-10)n(nPn)은 분자량 분포의 First Moment, n(n2Pn)은 Second Moment이며, 이들과 수 평균, 무게 평균 분자량 사이에는 다음의
    리포트 | 19페이지 | 4,000원 | 등록일 2004.12.24
  • 부피 측정용 유리 기구의 검정
    [피펫과 뷰렛은 그들의 표시된 부피를 옮기도록 "TD"라고 표시되어 있다.] ... 대부분의 플라스크는 "TC 20℃"라고 표시되어 있는데, 이것은 20℃에서 표시된 부피를 담도록 눈금이 매져져 있다는 것을 의미한다.
    리포트 | 8페이지 | 1,000원 | 등록일 2008.03.13
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대