• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(10,941)
  • 리포트(9,384)
  • 시험자료(645)
  • 자기소개서(325)
  • 서식(296)
  • 방송통신대(234)
  • 논문(39)
  • 이력서(7)
  • 노하우(6)
  • ppt테마(4)
  • 기업보고서(1)

"가산기" 검색결과 201-220 / 10,941건

  • 가산기 구성 결과보고서09
    004.420.080.100.12010.120.114.430.08100.124.430.110.13110.120.120.124.46
    리포트 | 1페이지 | 1,000원 | 등록일 2013.12.10
  • PSpice 레포트(디코드, 반가산기, 전가산기)
    가산기의 대충 어떻게 돌아가는지 어느 정도는 알 것 같다. 반가산기는 기본적으로 한자리수의 2진수 덧셈연산을 하는 조합회로이다. ... Report________(반가산기, 전가산기, 디코드)컴퓨터응용해석 및 실습전기공학과20072955- 디코드 -입력 A입력 BPeriod[sec] : 80msOn time[sec] ... 반가산기는 2진 연산에서 주로 마지막 자리의 덧셈을 할 때 쓰여진다.
    리포트 | 5페이지 | 1,000원 | 등록일 2010.04.06
  • 가산기회로를 최소화하여 설계한 원형 Fifo 설계
    본 원형 Fifo는 논리 게이트를 최소화하기 위해 Fifo회로를 구현하는데 필수적인 가산기회로를 최대한 간소화하여게이트 수를 줄이는데 목적으로 한다. ... RTL 및 게이트 레벨 회로로 구현하여 기능 검증을 쉽고 빠르게 할 수 있으면Full,Empty신호 발생을 위하여 데이터 감시 논리를 이용하여 앞서 구현한 가산기 회로를 리소스 공유함으로서회로를
    리포트 | 5,000원 | 등록일 2013.12.02
  • 비반전·반전증폭기, 가산 증폭기 결과보고서8(한기대 전자회로실습)
    증폭기 결과 파형 분석일반적인 가산 증폭기의 구조이다. ... 실험 결과 보고서ⅸ- 비반전·반전증폭기, 가산 증폭기담당교수조현찬 교수님학 번이 름제 출 일2012. 11. 28(A) 비 반전 증폭기◆ 부품 선정부품명선정이유UA741 - 1개실험 ... 따라서 출력 Vo = -(-V1 + V1) = 0이다.◆ 고 찰이번 실험은 비반전 증폭기, 반전 증폭기, 가산증폭기를 설계하는 실험으로 OP-amp에 관한 실험이었다.비반전 증폭기는
    리포트 | 15페이지 | 1,000원 | 등록일 2016.11.20
  • 가산기 및 감산기
    식 (1)의 진리표따라서 아래 그림 1과 같은 회로를 만들면 표 1에 나타난 이진법 덧셈을 수행할수 있는데 이를 반가산기 회로라 부른다.그림 반가산기 회로2) 전가산기 회로가산기에 ... Conclusion이번 실험은 가산기 및 감산기에 대한 회로를 설계하고 검증해보는 실험이었다.첫 번째 실험은 반가산기 불 함수식의 회로를 설계하고 동작을 검증실험 이었다.이 회로는 ... 가산기 및 감산기2. Name3. Abstract실험1)1. 반덧셈기 불 함수식의 회로를 설계하고 동작을 검증한다.2.
    리포트 | 18페이지 | 2,000원 | 등록일 2009.05.28
  • 가산기와 전감산기
    컴퓨터에서 기본연산인 덧셈을 가능하게 하는 조합논리회로인 가산기에는반가산기와 전가산기가 있다.2) 반가산기- 반가산기는 2진수 1자리의 덧셈을 가능하게 하는 회로로서, 자리올림을 고려하지 ... 전 가산기에서의 합은 자리 올림 수가 없는 경우에는 반가산기와 같고 자리올림수가 있는 경우에는 반가산기에서 나온 자리올림수와 논리합을 한 결과가 같기 때문에 전 가산기는 두 개의 반가산기와 ... B< 그림 1 > 반가산기의 논리회로와 논리식입 력출 력ABSC*************101< 그림 2 > 반가산기의 진리표3) 전가산기- 반가산기는 자리올림수를 고려하지 않으므로
    리포트 | 5페이지 | 1,000원 | 등록일 2010.05.23
  • 가산기와 전감산기 결과
    가산기의 진리표에 대해 실험 1, 2, 3의 전가산기 실험값을 비교하시오. 여러분의 실험값을 논의하시오. 다음 회로에 대해 전가산기의 출력을 구하는 원리를 설명하시오. ... (Hint) 감산의 2의 보수를 한 값과의 가산이다.회로 8-4의 전 가산기를 이용하여 전감산기를 구현6. ... 실험 2에서 전가산기의 C0는 올바른 결과를 나타내었는가?
    리포트 | 4페이지 | 1,000원 | 등록일 2011.04.25
  • 가산기 회로 실험 보고서
    실험제목- 가산기회로2. 실험목적- 가산기와 감산기의 원리를 이해한다.- 반가산기와 전가산기의 구성 및 동작원리를 이해한다.3. ... 실험 회로도(1) 반가산기 회로(2) 전가산기 회로6. ... 입력신호 전압의 덧셈을 출력하는 디지털 회로를 가산 회로라고도 부른다.▷ 가산기의 종류① 반가산기가산기는 이진수의 한자리수를 연산하고, 자리올림수는 자리올림수 출력(carry out
    리포트 | 7페이지 | 1,000원 | 등록일 2011.10.22
  • 디지털로직실험/최신 디지털 공학 실험 11 가산기와 크기비교기
    실험 11가산기와 크기 비교기실험 목표4비트 2진/Excess-3 코드 변환기의 설계, 구현 및 테스트.오버플로우(overflow) 검출이 가능한 부호 있는 가산기의 설계.사용 부품7483A ... 이 동작은 가산기가 2진 입력에 0110을 더하도록 한다. 비교기의 A > B 출력이 어떻게 가산기의 B로 연결되는 주의하여라. ... 비교기와 가산기는 처음 들어봐서 생소했고 이론 요약을 2~3번 읽어보고 예제: 4비트
    리포트 | 18페이지 | 1,000원 | 등록일 2014.06.29
  • 전기전자회로실험 가산기만들기
    가산기와 전가산기의 기본동작 이해 및 실제 회로설계에 적용하여 논리회로를 다루는 능력을 배양한다 . 1. ... 조합논리회로의 설계의 실례로 덧셈기 ( 가산기 ) 의 회로 를 구현해 본다 . ... S 1 S 0 C 3 = 1 0 1 0 0 올림수 Carry 발생올림수 없이 단지 두수만을 더하는 가산기가산기 ( Half Adder ) 0 0 0 0 0 0 0 0 0 A B
    리포트 | 35페이지 | 2,000원 | 등록일 2012.11.01
  • 4비트 전감가산기 설계결과보고서
    - 전가산기를 몇개로 조합하는 과정에 의하여 임의의 자리수의 이진수 가산기를 구성할 수 있다. ... 따라서 실제 회로에서는 주로 감산기를 별도로 설계하지 않고 가산기를 감산기로 사용한다.4비트 전감가산기 설계- 설계방법 : 2의 보수나 1의 보수를 사용하여 감산연산을 없애고 적당한 ... 회로 한 개에 전가산기가산기 모두 구현해서 CIN값을 1로 변화시킴으로서 감산기 역할을 하고 0으로 넣어주었을때는 가산기 역할을 하게 했다.
    리포트 | 4페이지 | 1,000원 | 등록일 2014.06.03
  • 논리회로실험) 가산기 및 감산기 예비보고서
    가산기는 반가산기와 전가산기로 구분할 수 있다.① 반가산기( HA ; half adder )- 반가산기는 2개의 2진수 x와 y의 논리 변수를 XOR 그리고 AND 게이트 두 개를 ... 기본 실험 이론* 가산기와 감산기란 무엇인가 ?1 ) 가산기( Adder )란 ?- 가산기는 adder 그대로 더해짐의 원리를 가지고 있는 가산회로이다. ... 예 비 보 고 서10 주 차실험 9 : 가산기 & 감산기1. 실험 목적- 반가산기와 전가산기의 논리와 회로를 이해한다.- 반감산기와 전감산기의 논리와 회로를 이해한다.2.
    리포트 | 4페이지 | 2,000원 | 등록일 2014.01.06
  • 실험3.반가산기,가산기
    컴퓨터는 전 가산기를 반 가산기(half adder)라고 부르는 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다.※ 전가산기는 반가산기와 마찬가지로 ... 반가산기0) 컴퓨터 내에서 2진 숫자(비트)를 덧셈하기 위해 사용되는 논리 회로의 일종으로 반가산기는 2개의 디지털 입력(비트)을 받고, 2개의 디지털 출력(비트)을 생성한다. ... 전가산기0) 컴퓨터 내에서 2진 숫자(비트)를 덧셈하기 위한 논리 회로의 일종. 전 가산기는 3개의 디지털 입력(비트)을 받고, 2개의 디지털 출력(비트)을 생성한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2008.05.25
  • [대충] 결과 가산기와 ALU 그리고 조합논리회로 응용
    디지털공학실험(결과보고서)실험 : 가산기와 ALU 그리고조합논리회로 응용◆실험가. 2개의 입력과 출력을 표시하고 ALU를 이용하여 16진 가감산 결과를 확인하는 실험을 해 보자.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.01.17
  • [대충] 예비 가산기와 ALU 그리고 조합논리회로 응용
    실험 목적반가산기와 전가산기의 원리를 이해하고, 설계를 통해 조합논리회로의 설계방법을 공부한다.상용 ALU의 기능을 이해하고, 4비트 ALU를 이용하여 두 수의 가감산을 실험해 동작과 ... 실험 이론①반가산기1비트의 이진수로 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 하며, 이때 두 개의 수 A, B를 합해서 나온 합과 자리올림이 발생한다.②전가산기두 ... 디지털공학실험(예비보고서)실험 : 가산기와 ALU 그리고조합논리회로 응용1.
    리포트 | 4페이지 | 1,000원 | 등록일 2015.01.17
  • [논리회로]전가산기,반가산기
    가산기/전가산기1)반가산기가산기란 컴퓨터 내에서 2진 숫자(비트)를 덧셈하기 위해 사용되는 논리 회로의 일종. ... 컴퓨터는 전가산기를 반가산기라고 하는 2개의 입력 회로와 조합시켜, 동시에 4개 비트 또는 그 이상의 덧셈을 할 수 있다. ... 온 덧셈기라고도 한다. 전가산기는 3개의 디지털 입력(bit)을 받고, 2개의 디지털 출력(bit)을 생성한다.
    리포트 | 1페이지 | 1,000원 | 등록일 2008.12.08
  • 모델심으로 전가산기 구현
    ASIC의개요 ASIC이란? Application Specific Integrated Circuit 특정의 용도에 쓰이도록 설계된IC로 최종 사용자의 목적에 한정해서 사용하도록설계된 IC (cf. 범용chip : 기억소자, Micro Processor…) ASIC 탄생..
    리포트 | 60페이지 | 2,000원 | 등록일 2010.04.15
  • 피스파이스를 이용한 8bit 가산기 설계(vlsi 텀프로젝트)
    [그림2.5] 반가산기를 이용한 전가산기 회로 및 전가산기 논리기호가산기 회로는 반가산기와 전가산기를 이용한 직렬 가산기와 병렬 가산기 회로가 있다.앞서 설명한 반가산기나 전가산기는 ... 반가산기2.2. 전가산기 및 8비트 가산기3. 설계 과정(Netlist)3.1. 반가산기 설계3.2. 전가산기 설계3.3. 8비트 전가산기 설계4. 시뮬레이션 결과4.1. ... 하지만 전가산기의 입력 중 자리 올림수 입력이 이전 가산기의 자리 올림수 출력이므로 하나의 전가산기가 계산되기 위해 이전 가산기의 연산을 기다려야한다.
    리포트 | 30페이지 | 10,000원 | 등록일 2015.06.23 | 수정일 2015.09.07
  • 디지털 시스템설계(16비트 일반가산기/CLA설계)보고서
    Generate문 병행 처리문에서는 component를 반복적으로 사용하기 위해서 generate문을 사용한다. generate문은 단순 반복생성을 위한 generate문(for-generate문)과 주어진 조건에 따라 여러 번 반복 처리하는 generate문(i..
    리포트 | 7페이지 | 1,000원 | 등록일 2016.02.01 | 수정일 2016.06.05
  • 논리예비3 가산기와 감산기 (Adder & Substractor)
    가산기와 감산기 (Adder & Substractor)1. ... 합의 하위 비트를 S라고 부르며, 상위 비트를(carry out)이라고 부른다.반가산기의 진리표입력출력ABSC*************101(4) 전가산기(Full adder)전가산기는 ... 실험목적Logic gates를 이용하여 가산기(adder)와 감산기(substractor)를 구성하여 동작을 확인해 보고 이를 통해서 디지털 시스템의 기본 요소인 가산기와 감산기의
    리포트 | 4페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:07 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대