• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(17,547)
  • 리포트(16,111)
  • 시험자료(563)
  • 자기소개서(511)
  • 방송통신대(276)
  • 논문(65)
  • 서식(13)
  • 이력서(3)
  • ppt테마(2)
  • 노하우(2)
  • 표지/속지(1)

"디지털 신호" 검색결과 201-220 / 17,547건

  • [신호및시스템설계] 여러 시정수에 해당하는 샘플링으로 아날로그와 디지털 출력을 비교
    디지털의 경우,일단 디지털 신호가 되면, 어떠한 전달 장치도 신호자체를 망가뜨리지는 않게 되므로,더 이상의 잡음이 더해지지 않는다. ... 반면, 앞에서 말한 아날로그 신호의 장점인 정밀도를디지털에서 높이자면 회로나 신호의 양, 기술적문제가 높아지고 또한 디지털 신호로만드는 과정에서 생기는 정밀성의 한계에서 오는 오차 ... , 즉 '양자화 오차'는 어쩔 수없는 디지털 신호의 한계이다.
    리포트 | 16페이지 | 3,000원 | 등록일 2011.07.14
  • 신호와시스템사례조사(아날로그 디지털에 관련한것)
    디지털보청기의 핵심인 D.S.P(Digital Signal Processing)는 팬티엄 컴퓨터 프로세서가지니고 있는 연산능력으로 모든 소리신호에 대하여 초당 수 천만번의 연산과정을 ... [디지털 데이타 신호]? ... 디지털 보청기의 구조는 마이크로폰, A/D변환기(아날로그 신호디지털로 변환시켜주는 장치),마이크로 프로세서, D/A변환기(디지털 신호를 아날로그로 변환시켜주는 장치) 및 리시버로구성되어
    리포트 | 21페이지 | 1,000원 | 등록일 2008.03.04
  • [공학]아날로그 신호디지털 신호의 차이점과 각각의 장단점조사
    운전대를 안전하게 한손으로 잡고 전방 시야를 확인하면서 손으로 앞으로 돌리고 뒤로 돌리고 하면서 귀로듣고 주파수를 맞출수 있어 안전 운전 이 보장되었지만 현대에 이르러서는 전부 디지탈 ... 하지만 디지털 처리된 신호를 자세히 살펴보자. 디지털 신호는 단지 0과 1의 값만을 갖는다. ... 컴퓨터에서 사용하기 위해) 다시 디지털 신호로 바꾸어야 할 때 모뎀이 필요하게 된다.디지털 신호정보변화를 유한부호의 유무조합으로 나타내는 신호이며 정보 변화가 시간적으로, 또한 연속하여
    리포트 | 7페이지 | 1,000원 | 등록일 2007.03.25
  • 2016년도 중앙대학교 전자전기공학부 3학년 2학기 아날로그및디지털회로설계실습 예비보고서 5장 신호발생기
    아날로그 및 디지털 회로 설계 실습예비 보고서실습 5. 신호발생기조7조제출일2016-10-27학번, 이름5-1. ... 즉, 대신호에서는 다이오드 중 하나가 Forward bias됨으로써 Feedback 저항과 OP 증폭기의 이득을 감소시키는 반면, 소신호에서의 이득은 다이오드에 영향을 받지 않게 된다 ... 실습목적Wien bridge RC 발진기를 이용하여 신호 발생기를 설계, 제작, 측정하며 그 동작을 확인한다.5-2.
    리포트 | 4페이지 | 1,000원 | 등록일 2016.12.23 | 수정일 2017.06.25
  • matlab_컨볼루션응답분석_디지털신호처리
    제 목 : convolution 응답의 분석과 목 명 : 디지털 신호 처리지난번 수업 자료를 바탕으로 컨볼루션 응답의 특성을 미리 알아보고자 다음과 같은 코드로 실습을 한다.위에서 ... 홀수번째 값은 왼쪽에 컨볼루션 시켰고 짝수번째 값은 오른쪽에 컨볼루션 시켰기 때문에 동시에 들었을때 오른쪽에서 음성신호가 있는것처럼 느낄수 있다.다음으로는 주어진 자료를 바탕으로 컨볼루션
    리포트 | 8페이지 | 2,000원 | 등록일 2007.12.14 | 수정일 2016.06.01
  • [공학]아날로그 신호디지털 신호의 차이점과 각각의 장단점에 대하여 조사
    그 임의의 순간에 있어서 신호의 크기는 모두 정보로서의 의미를 가지고 있다.2. 디지털 신호디지트(digit)는 사람의 손가락이나 동물의 발가락이라는 의미에서 유래한 말이다. ... 아날로그 신호디지털 신호의 장단점(1) 디지털 신호의 장점1. 외부 신호의 방해에 대한 저항력이 크다.2. ... 아날로그 신호디지털 신호의 차이점과 각각의 장단점에 대하여 조사1.
    리포트 | 3페이지 | 1,000원 | 등록일 2007.01.23
  • 디지털신호처리 레포트-matlab실습 ,소스주파수 응답을 해석, Fourier Transform,FIR filter의 주파수 응답 소스,그래프
    The Catholic University of Korea디지털신호처리REPORT< MATLAB실습#1 >▣ 과 목 명 : 디지털신호처리▣ 담 당 :▣ 제 출 일 자 :▣ 학 번 :
    리포트 | 5페이지 | 1,000원 | 등록일 2013.12.07 | 수정일 2013.12.16
  • [디지털신호처러] DMB 조사
    DMB(Digital Multimedia Broadcasting)의 정의방송위원회의 “DMB 등 디지털 방송에 관한 종합계획”에 의하면 DMB는 “CD 수준의 음질과 데이터 또는 영상서비스 ... 전송방식은 많은 수의 반송파에 신호를 실어 보내는 직교주파수분할다중화(OFDM : Orthogonal Frequency Division Multiplexing)을 적용하는데, 다중경로 ... 따라서 다양한 디지털 컨텐츠(Video/Audio/Data)를 고정수신자 및 이동중인 휴대용 단말 보유가입자에게 경제적인 방송망을 통해 고품질로 제공하는 디지털 멀티미디어 방송서비스를
    리포트 | 5페이지 | 2,000원 | 등록일 2003.12.24
  • IC소자를 이용한 디지털회로 설계 텀프로젝트-사거리 신호
    구상작품의 동작신호등의 점등은 빨, 빨·노, 초, 노·초, 빨 의 순서로 순환하도록 하였고 그에 따라 보행자 신호등도 빨, 초 의 순환을 하도록 하였다. ... 작품 구성 시 문제점LED의 부족으로 사거리 신호체계중 반만 실제 설계 하였다. 그 외의 반은 맞은편의 신호와 똑같기 때문에 굳이 설계하지 않았다. ... 작품 구상LED를 사용하여 작품을 만들어 보고자 하다가 사거리의 신호등을 보고 직접 구현해 보고싶어 제작에 임하게 되었다.2.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.06.11
  • [디지털 신호]대한민국 주파수분배표
    HF(단파)(High Frequency)3MHz3.93.953.9954.0055.96.27.125.5525.6726.130MHz항공이동/아마추어/고정/이동항공이동고정표준주파수 및시보신호고정 ... 10GHz~10GHz~10GHz구분분 배 표주요 용도 정리VLF(초장파)(Very Low Frequency)3kHz91419.9520.2530kHz분배하지 않음무선항행고정표준주파수및 시보신호고정해상이동해상이동 ... 300kHz526.51606.5180018252495250528503MHz항공무선항행/해상무선항행/항공이동/해상이동AM방송고정/이동/무선항행/무선표정아마추어해상이동/고정/무선항행/무선표정표준주파수및 시보신호고정
    리포트 | 1페이지 | 무료 | 등록일 2006.06.02
  • [디지털 논리]사거리 신호등 제어기
    대부분 디지털 시계를 만드는 방법을 소개했다. 그랬기에. ... 논리와 설계기본 전자회로 모음집,김응묵Digital Fundamentals 4th,Thomas L,Floyd전자회로,광문사●느낀점이론으로만 배운 것을 실행으로 옮기는 것이 매우 힘들었다 ... -비동기형 리플 카운터보다 전달 속도가 매우 빠르고 소형임.b)동기식 카운터3.J = K = 1 일 때 클럭 펄스가 인가되면 출력은 반전●참고문헌디지털 논리회로 실험 ,김동원 외,한올출판사디지털
    리포트 | 22페이지 | 3,000원 | 등록일 2006.06.26 | 수정일 2016.11.21
  • [전송신호]디지털변조, 주파수대역폭
    디지털변조 방식디지털 정보는 두 개의 전압값을 사용하여 간단히 디지털신호로 표현이 가능하다. ... 출력되는 디지털 신호에 의해 교류인 반송파를 변화시키는 방식을 디지털변조라 한다.디지털 신호는 주어진 반송주파 대역내에서의 신호로 변환되어 아날로그 전송로로 전송된다.2.1 진폭편이 ... 유사하나 변조신호디지털 신호이므로 약간의 차이를 보인다.데이터통신 시스템에서 취급하는 신호디지털 신호가 주체인데, 이를 아날로그전송로에 전송하기는 적합하지 않다.
    리포트 | 10페이지 | 1,000원 | 등록일 2006.04.25
  • [디지털공학]VHDL을 이용한 신호등구현
    (2) VHDL 소스 코드library IEEE;use IEEE.STD_LOGIC_1164.ALL;use IEEE.STD_LOGIC_ARITH.ALL;use IEEE.STD_LOGIC_UNSIGNED.ALL;entity traffic is Port ( CLK : ..
    리포트 | 5페이지 | 5,000원 | 등록일 2005.07.11
  • [디지털신호처리]저역통과필터설계
    Sallen-Key Circuit. 예비보고서(1) 개괄수업시간에 배운 Butterworth 4차 Low-pass Filter를 만족하는 Spec을 결정하여 회로를 설계하여 cutoff frequency, Pass band와 Stop band에서의 Outputㄱㆍㅄ을 ..
    리포트 | 7페이지 | 2,500원 | 등록일 2004.12.28
  • [DSP]DSP 디지털신호처리 오펜하임 2장 솔루션
    Solution to Discrete Time Signal Processing 2ed - Oppenheim SM chapter3모두 열공하셔서 A+ 받으세요
    리포트 | 59페이지 | 2,000원 | 등록일 2006.04.14
  • [DSP]DSP 디지털신호처리 솔루션 오펜하임 3장
    Solution to Discrete Time Signal Processing 2ed - Oppenheim SM chapter3모두 열공하셔서 A+ 받으세요 ^^
    리포트 | 31페이지 | 2,000원 | 등록일 2006.04.14
  • [디지털신호처리] 고속푸리에변환
    자기상관함수는 상호상관함수의 특별한 형태로서 어떠한 신호가 잡음과 함께 있을 때 그 신호의 주기검출에 주로 이용된다.. ... 있는 신호의 검출 및 복원 등에 응용이 된다. ... 예를 들면 레이더(radar)신호의 탐지, 패턴매칭(pattern matching) 그리고 지연 특성 등에 사용된다.
    리포트 | 5페이지 | 1,000원 | 등록일 2003.06.13
  • [DSP(디지털신호처리)] Matlab를 이용한 디지털필터(잡음제거)
    function Open_Callback(hObject, eventdata, handles)global y fs bits t[fname, pname] = uigetfile('*.wav','Open WAV File');[y,fs, bits] = wavread([pname..
    리포트 | 6페이지 | 3,000원 | 등록일 2004.06.22
  • [Matlab] Matlab를 이용한 디지털신호처리
    수치적으로 구한 결과를 Prelab(4)번과 비교하고, 그 결과와 그림을 리포트에 포함하시오.질문 6에서의 신호 x(t)는 주기신호 sin(100)cos(25t)와 구형파 rectpuls ... 결과를 출력하시오. x(t)=sin(100t)cos(25t), 0≤t〈3, N=256을 사용하여 신호의 퓨리에 변환의 근사치를 구하시오. ... 이 때 주기신호 sin(100t)cos(25t)와 x(t)의 퓨리에 변환의 관계에 대하여 설명하시오MATLAB 명령어 freq(b,a)를 이용하여 위 시스템의 주파수 응답에 대한 진폭과
    리포트 | 11페이지 | 1,000원 | 등록일 2003.05.11
  • IC소자를 이용한 디지털회로 설계 텀프로젝트 ppt발표자료-사거리 신호
    그러다 신호등의 점등되는 기계적움직임에 시연 가능성이 있을 것으로 생각되어 작품구상에 들어가게 되었다.
    리포트 | 12페이지 | 2,000원 | 등록일 2010.06.11
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:45 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대