• 통큰쿠폰이벤트-통합
  • 통합검색(19,548)
  • 리포트(18,265)
  • 자기소개서(648)
  • 시험자료(291)
  • 방송통신대(241)
  • 논문(72)
  • 서식(19)
  • 이력서(5)
  • ppt테마(4)
  • 노하우(2)
  • 표지/속지(1)

"디지털 실험" 검색결과 201-220 / 19,548건

  • 연세대학교 기초디지털실험 10주차 예비레포트 (Filter/Equalizer)
    Filter means a filter with different gain per frequency. Therefore, the desired frequency band can pass by increasing the gain and the unwanted freque..
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.18
  • 연세대학교 기초디지털실험 10주차 결과레포트 (Filter/Equalizer)
    Ⅰ. Experiment1. filter/equalizer in CThe IP block of the previous project was exported to hardware and launched to vitis. First, I changed the coeffic..
    리포트 | 12페이지 | 5,000원 | 등록일 2022.04.18
  • 디지털 실험 8장(디코더를 이용한 조합논리) 결과 보고서
    ※추가내용HD74LS139에 관한 자료.조합논리디지털 회로 이론에서 조합 논리(combinational logic)는 현재 입력에 따라 출력이 항상 똑같이 결정되는 논리회로를 말한다 ... 실험 8장 디코더를 이용한 조합논리1. 실험목적-디코더를 이용한 다중 출력 조합 논리 회로를 구현한다.2. ... 결과 분석실험의 쓰인 상태 코드는 표에 의하면 S1이 B, S2가 A가 된다. 실험에 앞서 1G는 접지되어 있음을 인지하자.
    리포트 | 9페이지 | 3,000원 | 등록일 2019.12.17
  • 디지털 실험 9장(멀티플렉서를 이용한 조합논리) 결과 보고서
    이번 실험을 하는데 사용한 도선의 개수가 이 전 실험들보다 역대급으로 많았다고 생각한다. ... 이 전 실험들 (1학기 실험 포함)하여 전압을 인가해도 전류가 차단되는 현상이 종종 있었다. ... 실험 9장 멀티플렉서를 이용한 조합논리1.
    리포트 | 12페이지 | 3,000원 | 등록일 2019.12.17
  • 실험 M2 아날로그 및 디지털 기초 회로 응용 결과보고서
    전기 및 디지털 회로실험실험 M2 아날로그 및 디지털 기초 회로 응용결과보고서담당교수 : 교수님학과 : 전기공학과학번 :이름 :실험실험 M2 아날로그 및 디지털 기초 회로 응용개요기존에 ... 결과디지털 Input (Read) 결과분석이번 실험은 스위치를 누르면 상태가 시리얼 모니터에 연속으로 출력되도록 프로그램을 작성하고 실행한 다음 결과를 확인하는 실험입니다.실험 ( ... 및 업로드한 후, 스위치를 눌렀다 떼며 그 결과를 확인한다.실험 결과디지털 Output (Write) 결과분석이번 실험은 스위치를 눌렀을 때 보드에 내장된 LED가 켜지고, 누르지
    리포트 | 18페이지 | 1,000원 | 등록일 2022.10.31
  • 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 1
    디지털회로실험및설계 예비 보고서 #2( 부울대수와 카르노맵, RS Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... 플립플롭- 플립플롭은 전원이 공급되면 1 또는 0의 출력이 유지되는 디지털 회로이다. ... 실험목표① 부울 대수로 논리식을 간소화하고, 실험으로 확인한다.② 카르노 맵으로 논리식을 간소화하는 방법을 익힌다.③ 카르노 맵으로 간소화한 논리식을 실험으로 확인한다.④ 카르노 맵을
    리포트 | 10페이지 | 3,000원 | 등록일 2023.09.22 | 수정일 2023.09.24
  • 디지털 회로 실험 및 설계 - 부울대수와 카르노맵, RS Flip Flop 실험 2
    디지털회로실험및설계 결과 보고서 #2( 부울대수와 카르노맵, RS Flip-Flop 실험 )과 목담당교수제 출 일학 번이 름1. ... 실험하며 측정했던 전류의 값도, 디지털 멀티미터에 표시된 계속해서 바뀌는 전류의 값에서 소수점 한 ~두자리까지만 보고 대략적인 값을 측정한 것이다.- 둘째로, 점퍼선에서도 저항은 존재한다는 ... 물론, 우연치 않게 소수점 한자리 수준으로 딱딱 떨어지는 전류의 값도 측정 되겠지만 대부분 디지털 멀티미터에 측정되는 전류의 값을 보면, 소수점 셋째 자리까지 나타나게 되는데, 그
    리포트 | 14페이지 | 3,000원 | 등록일 2023.09.22
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목Simple Computer - Data Path실험목표1. ... 회로도 및 진리표이번 실험에서 작성하게 될 verilog 코드를 어떤식으로 작성하여야 할지 생각해 보았다.1. ... Function Unit 그리고 MUX B, MUX D를 연결하여 전체 Data Path 회로를 구현하고 결과를 시뮬레이션 한다.- Control Word 는 13bit 로 정의된다.이번 실험
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit BCD code ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4. ... 그래서 이 실험 단계에서는 Binary to BCD 컨버터를 기본 Line Decoder를 이용해서 설계해야 한다.1. 기본 Line Decoder의 진리표는 다음과 같다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 7주차 예비보고서- 디지털 시스템 설계 및 실험
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목Sequential Circuit 설계 및 구현실험목표1. ... 이 PC 스피커는 다양한 소리는 내지 못하지만 멜로디 등의 소리나 경고음 등을 표현 할수 있다.PIEZO는 디지털 신호 1에 해당하는 입력 레벨의 음성 주파수대의 펄스 신호를 입력하면
    리포트 | 4페이지 | 1,000원 | 등록일 2020.07.29
  • 10주차 예비보고서 - 디지털 시스템 설계 및 실험
    실험제목: Simple Computer - Control Unit실험목표1. Simple Computer의 구조를 이해한다. 2.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.07.29
  • 디지털 논리회로 - 이론 및 실험 (생능출판), 3장 연습문제
    3.1 그림 3-42와 같은 입력 파형들이 두 개의 입력 A와 B를 가진 아래와 같은 게이트들로 인가될 때 발생되는 출력 파형을 각각 구하라. 3.2 세 개의 인버터(NOT 게이트)가 직렬로 접속되어 있다. 첫 번째 인버터의 입력을 A, 그 출력을 B라고 하자. 직렬접..
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 디지털 논리회로 - 이론 및 실험 (생능출판), 4장 연습문제
    4.9 앞면과 뒷면을 가진 동전 세 개에 각각 논리 변수 A, B 및 C를 지정하기로 하자. 동전 을 던져서 앞면이 나오면 ‘1’, 뒷면이 나오면 ‘0’이라고 할 때, 세 개를 모두 던져서 한 개의 동전만 앞면이 나온 경우에 출력 F=1이 된다. 진리표를 작성하고, 함..
    시험자료 | 8페이지 | 2,500원 | 등록일 2022.11.11
  • 디지털 논리회로 - 이론 및 실험 (생능출판), 5장 연습문제
    5.7 앞면과 뒷면을 가진 동전들이 세 개(x,y,z)가 있다. 동전을 던졌을 때 앞면이 나오면 ‘1’, 뒷면이 나오면 ‘0’으로 표시하기로 한다. 세 개의 동전들을 모두 한 번에 던져서 그들 중에 두 개 이상이 앞면이 나오면 출력(F)이 ‘1’이 되어 램프가 켜지게 ..
    시험자료 | 13페이지 | 2,500원 | 등록일 2022.11.11
  • 서강대학교 디지털논리회로실험 - 실험 8. Multiplier Design 결과 보고서
    디지털논리회로실험(EEE2052-01)서강대학교 전자공학과2017년 2학기 결과레포트실험8. Multiplier Design1. ... 실험개요1) 4비트 곱셈기의 구조와 원리를 이해한다.2) 팀 단위로 디지털 회로 설계하는 방법을 이해 한다2. 퀴즈 답안지 및 정답-퀴즈 없음3. 실험노트-실험 노트 없음4. ... 실험 결과 및 분석1) 각자가 설계한 Block을 Xilinx ISE로 합성하고, FPGA에 다운로드 한 후 동작을 검증한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 홍익대_디지털논리회로실험_2주차 예비보고서_A+
    디지털 논리실험 및 설계 2주차 예비보고서실험 준비1.1 NAND 7400 게이트, NOR 7402 게이트, XOR 7486 게이트의 datasheet를 확인하시오.7402 NOR ... 의 회로를 구현하시오.응용 실험(1)응용 실험(2)응용 실험(3)1.4 응용 실험 (3)의 결과를 예상하고 이유를 서술하시오.= (A+B)(A+C) [∵드모르간 법칙]= AA + AC ... 이때의 진리표는 XOR 게이트의 진리표와 같다.2.5 응용실험 (2)실험 준비 1.3에서 구현한 회로를 보자.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_5주차 예비보고서_A+
    디지털 논리실험 및 설계 5주차 예비보고서실험 준비1.1 4.1 기본 실험 (2)의 전가산기 [그림 2]는 반가산기 [그림 1] 두 개와 하나의 OR 게이트로 이루어져 있다. ... 이를 ∑ = (A⊕B으로 구현했다.1.2 응용 실험 (1), (2)의 회로를 구현하시오.응용실험(1)전가산기를 두개 이용하여 두 자리 이진수 덧셈기를 구현했다. ... 이때 두번째 전가산기의Carry in에는 첫번째 전가산기의 Carry out을 연결했다.응용실험(2)응용실험(1) 회로와 거의 유사하지만 첫번째 전가산기의 Carry in에 1이 입력된
    리포트 | 5페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_8주차 예비보고서_A+
    디지털 논리실험 및 설계 8주차 예비보고서실험 준비1.1 Gated D Latch의 동작에 대해 설명하시오.Gated S-R Latch와 매우 유사하다. ... 결국 CLK에 연결된 스위치가 올라갈 때마다 Q의 값이 토글된다.결론적으로 응용실험 (1)과 응용실험 (2)의 회로는 Q의 값을 토글시킨다는 공통점이 있지만 응용실험 (1)의 회로는 ... Positive edge인 CLK가 있기 때문에 CLK의 값이 0에서 1로 변하는 순간에만 Q의 출력이 변할 수 있다.1.5 응용실험 (1)과 응용실험 (2)의 회로를 비교하시오.응용실험
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 고려대학교 디지털시스템실험 A+ 11주차 결과보고서
    디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목Simple Computer-Data Path실험목표① 컴퓨터 시스템의 기본적인 구조를 이해한다.② 입력된 명령을 수행하는 ... 또한 입력값과 출력값이 서로서로 연결되어 있는 구조를 코딩할 때에는 알맞은 값이 잘 입력되고 있는지 확인하는 것이 중요하다는 것을 깨달았습니다.디지털 시스템 설계 및 실험 2022 ... Data Path testbench토의이번 실험을 통해 컴퓨터가 폰 노이만 구조로 이루어져 있다는 것을 알게 되었습니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.06.21
  • 고려대학교 디지털시스템실험 A+ 12주차 결과보고서
    실험을 통하여 Simple Conputer의 구조 중 Control Unit에 대하여 이해할 수 있었다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.06.21
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:05 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대