• 통큰쿠폰이벤트-통합
  • 통합검색(16,336)
  • 리포트(13,679)
  • 자기소개서(1,462)
  • 시험자료(786)
  • 방송통신대(235)
  • 논문(102)
  • 서식(51)
  • ppt테마(12)
  • 이력서(6)
  • 노하우(3)

"제어기 설계" 검색결과 201-220 / 16,336건

  • 현대자동차 상용차 ADAS 및 전자시험 개발직(R&D) 합격자소서
    구조로 제어기설계했습니다. ... 제어기 설계를 완성한 후, 응답성 확인을 위해 시정수 3배의 시간에서 전류 입력을 1A를 줬을 때 약 0.95A로 안정적인 제어기설계된 것을 확인했습니다.해당 프로젝트를 성공적으로 ... 로직을 적용해 운전성을 높였습니다.대학교에서 자동제어 과목을 수강하며 DC모터를 시뮬링크에서 모델링 후 PID제어기 설계하여 외란에 강건한 성능을 보였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.03
  • 조선대학교 A+ / 메카트로닉스 레포트 과제
    구조가 간단하고 조작이 용이하며 기계의 크기도 생산제품에 적합하도록 되어 있는 공작기계이며 수치제어 공작기계는 범 NC 공작기계라고도 한다.수치제어장치는 기계에 동작을 지령하는 계산기 ... 수치제어 밀링머신, 수치제어 보링머신, 수치제어 드릴링머신, 수치제어 연삭기, 머시닝센터, 선반 동이 이에 속한다.즉 NC 공작기계는 범용 공작기계에서 사람이 하는 일을 컴퓨터가 대신한다는 ... 가장 이상적인 것은 전자기학과 제어공학 그리고 설계 과정의 초기 단계로부터 계산 등을 고려함으로써 좌우된다.
    시험자료 | 2페이지 | 2,000원 | 등록일 2023.07.09
  • A+ / 디지털시스템설계 가/감산기 실험보고서
    실험내용 및 방법① 프로그래머를 반 가/감산기의 회로도를 설계하고 제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작)을 확인한다.② 프로그래머를 반 가/감산기의 회로도를 설계하고 ... 디지털시스템설계 실험 보고서가/감산기 회로1. ... 실험목적① 프로그래머블 반 가/감산기를 설계하고 실험을 통해 논리 동작을 확인해 보고 이해한다.② 프로그래머블 전 가/감산기를 설계하고 실험을 통해 논리 동작을 확인해 보고 이해한다
    리포트 | 8페이지 | 2,000원 | 등록일 2023.08.15
  • [과학기술정보통신부] 무선설비 공사설계서(위성방송국 또는 지상파ㆍ위성방송보조국)
    조정증폭기, 전치증폭기, 카메라 제어장치, 동기신호 발생장치, 혼합증폭기, 안정증폭기, 스테레오 제너레이터 등에 대하여 적습니다.16. ⑦의 (5)란: 전원설비를 다른 방송국과 공용하는 ... ■ 전파법 시행규칙 [별지 제15호서식] (3쪽 중 제1쪽)무선설비 공사설계서(위성방송국 또는 지상파ㆍ위성방송보조국)① 송신부(1) 송신방식(2) 장치구분(3) 정격출력(4) 실효복사전력희망 ... 이 경우에 공사설계의 내용이 동일한 장치에 대하여 일괄(제조번호는 제외합니다)로 적을 수 있습니다.3. ①의 (3)란: 평균전력을 적습니다.4. ①의 (4)란: 출력, 이득, 손실을
    서식 | 3페이지 | 무료 | 등록일 2023.03.10
  • 유사실험설계의 목적과 유형을 설명하고 그에 따른 장단점을 기술하시오
    실험에서 나타날 수 있는 효과 크기와 다른 효과 크기를 보일 수 있다는 점도 문제이다. ... 실험과 비교하여 통계적 유효성이 낮다는 비판을 해결하기 위해서는 적절한 표본크기 및 적절한 통계분석 방법을 선택하는 것이 필요하다. ... 따라서, 유사실험설계를 적용할 때는 실험과 유사한 조건을 만들어내는 데에 주의를 기울이고, 가능한 제어변수를 적극적으로 활용하며 외생적 요인을 제어하기 위해 대상 집단의 무작위성을
    리포트 | 5페이지 | 2,000원 | 등록일 2023.05.09
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 5차 예비보고서
    전압제어 발진기의 설계(A) 그림 5-1과 같이 전압제어 발진기를 설계하고, 출력파형을 관찰하라. ... 그림 5-1 전압제어 발진기 실험 회로도 전압제어 발진기 설계 회로1) VC가 0.5 V인 경우 VO의 출력 파형과 출력 주파수출력 주파수 : 5.217 kHz2) VC가 1 V인 ... 실습 목적전압제어 발진기(VCO : Voltage Controlled Oscillator)를 설계하고 전압을 이용한 발진 주파수의 제어를 실험으로 확인한다.2.
    리포트 | 14페이지 | 1,000원 | 등록일 2024.02.17
  • [예비보고서] 5.전압 제어 발진기 (VCO)
    예비 보고서설계실습 5. 전압제어 발진기5-3. ... 따라서, 출력 주파수 이다.5-3-2 전압제어 발진기의 설계(A) 그림 5-1과 같이 전압제어 발진기를 설계하고, 출력파형을 관찰하라. ... (답안)5-3-2 (A)에서 설계한 전압제어 발진기 회로는 교재 그림 5-1을 따른다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.01.03
  • [김영평생교육원] - 마이크로프로세서1 과제
    이로 인해 프로그램의 크기를 줄이고 실행 시간을 단축시킬 수 있습니다. 그러나 하드웨어 설계가 복잡해지고 명령어 실행 속도가 상대적으로 느릴 수 있습니다. ... 임베디드 시스템은 특정 제어나 처리 작업을 위해 설계된 컴퓨터 시스템으로, 자동차, 가전 제품, 산업 제어 시스템 등 다양한 분야에서 활용됩니다.이러한 마이크로프로세서와 마이크로컨트롤러는 ... 이를 통해 마이크로프로세서는 작은 크기와 낮은 전력 소비로 컴퓨팅 성능을 제공할 수 있습니다.
    리포트 | 3페이지 | 2,000원 | 등록일 2023.07.05
  • 동역학은 힘을 받는 질점과 강체가 운동 중일 때 물체에 작용하는 힘을 다루는 역학의 한 분야이다. 동역학을 배워야 하는 이유와 동역학이 본인 전공에 어떻게 적용될 것인지를 논하시오.
    항공기와 우주선의 운동, 제어, 착륙 등에 핵심적인 역할을 하는데 우주선 진동 문제 해결, 로켓의 궤도 제어, 항공기의 비행 안정성 분석 등에 동역학적인 접근이 필요하다. ... 기술공학 분야에서는 동역학을 이용해 제품 및 시스템의 설계, 구조물의 안전성 평가, 제어 시스템 설계, 항공우주공학, 자동차 엔지니어링 등에 활용할 수 있다. ... 셋째, 제어 시스템 설계가 가능하다. 동역학 모델을 기반으로 한 제어 알고리즘을 개발하여 시스템의 원하는 동작을 실현할 수 있다. 넷째, 항공우주공학에 활용 가능하다.
    리포트 | 2페이지 | 2,500원 | 등록일 2023.06.19 | 수정일 2024.02.23
  • 아날로그 및 디지털 회로 설계실습 결과보고서5
    설계실습내용 및 분석5-4-1 설계한 전압제어 발진기 회로의 구현그림 5-1의 적분회로를 응용한 전압제어 발진기 회로를 구성하라. ... (OP amp의 공급전압은 Vcc = 5 V, Vss = -5V로 한다.)5-4-2 구현한 회로의 동작(주파수 변화측정)제어 전압 Vc를 변화시키고 Oscilloscope를 이용하여
    리포트 | 5페이지 | 1,000원 | 등록일 2024.07.05
  • 제어시스템 중 피드백 제어 시스템에 대한 예를 제시하고 모델링 과정을 설명하시오.
    이를 통해 우리는 제어기 설계와 시뮬레이션에 필요한 기본적인 모델을 구축할 수 있습니다. 다음 단계는 '제어기 설계'입니다. ... 시스템의 동작 원리를 수학적으로 표현하는 시스템 모델링, 목표 달성을 위한 제어 알고리즘을 설계하는 제어기 설계, 그리고 제어기의 성능을 예측하고 실제 시스템에서 확인하는 시뮬레이션 ... 그 후 실제 시스템에서 실험을 진행하여 시뮬레이션 결과와 비교하고, 제어기의 성능을 확인합니다. 마지막 단계는 '제어기 개선과 최적화'입니다.
    리포트 | 5페이지 | 2,000원 | 등록일 2023.06.21
  • 아날로그 및 디지털 회로 설계실습 결과보고서2
    설계실습 내용 및 분석2-4-1 PWM 제어회로① PWM 제어회로를 구성한다.UC3845 PWM의 7번포트로 입력전압 10V를 인가, 8번포트로 Vref 5V를 인가한다.실험에 사용된 ... 저항은 가변저항이며 10kΩ으로 설계하여야 한다.가변저항을 조절하여 9,84kΩ으로 맞춘 뒤 실험을 진행하였다.② 톱니 파형과 출력 파형을 확인한다.실험을 통해 PWM 제어 회로의 ... 증폭을 하게되는데 이 증폭된 전압은 비교기에서 톱니파와 비교되어 오차에 상응한 구형파 펄스를 생성한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 아주대학교 자동제어실험 7번 실험 / 속도제어시스템 / 예비보고서
    실험 이론 및 방법비례 제어 (P-Controller) 시스템 설계직류 전동기의 T(s)직류 전동기의 T(s)를 이용한 속도 제어 시스템K_p = 1 일때의 단위 계단 응답p 제어는 ... 적분 제어기로 고치고 설계조건 PO = 10%, T_s = 3s인 경우의 K_p와 K_i를 유도하라.실험 이론 부분에서 일반적인 2차 System의 설계 요소들을 어떻게 구할 수 있는지 ... Kp값을 높이면 오차가 줄지만 오버슈트가 발생할 가능성이 높아지며 정상상태 오차를 제거하기 위해 비례-적분제어기를 이용한다.비례 적분 제어 (PI-Controller) 시스템 설계비례
    리포트 | 5페이지 | 1,000원 | 등록일 2022.03.06
  • [결과보고서]중앙대학교 아날로그및디지털회로설계실습 위상 제어 루프(PLL)
    설계 실습 내용 및 분석6-4-1 위상제어루프의 설계그림 6-2의 위상 제어 루프를 구성한다. ... 요약: 이번 실험은 통신 분야에서 채널 설정에 많이 사용하는 PLL을 설계 및 구성하였다. 위상제어루프를 구성할 때 XOR 게이트를 사용했고 5V의 구형파를 인가하였다. ... 서론위상제어루프는 신호를 넣었을 때 위상 검출기의 출력이 일정하게 고정된 상태로 만든다.따라서 입력, 출력 주파수가 같아짐을 이 실험에서 확인할 수 있다.
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.23
  • 건물자동제어 사례 _ 풀무원기술원
    위 원통형 그래프는 하절기 , 동절기 동안 계통별 에너지 절감률을 도식화하고 있다 . 하절기에는 21.3%, how} ... BEMS 는 BAS( 자동제어시스템 포함 ) 인프라를 기반으로 데이터 수집 및 가시화 , 에너지 소비와 설비상태를 분석 및 예측하고 최적제어와 의사결정을 지원하는 시스템으로 설계되어야 ... 미국그린빌딩위원회는 통합적인 설계 계획 , 건물의 위치 및 주변 교통 , 물 사용 절감 , 에너지 절약 및 대기 환경 , 친환경적인 건축 자재 사용 , 실내환경 관리 , 혁신적인 설계
    리포트 | 5페이지 | 2,000원 | 등록일 2024.04.06
  • 한화에어로스페이스 R&D(연구개발)직 합격자소서
    [안정적인 제어기 설계 경험]로봇학 실험4를 수강하며 펜듈럼의 위치-속도-전류 케스케이드 구조로 제어기설계했고 임베디드 개발 및 SIMULINK 활용 능력을 길렀습니다.위치 제어기는 ... 제어기 설계한 후, 응답성 확인을 위해 시정수 3배의 시간에서 전류 입력을 1A를 줬을 때 약 0.95A로 안정적인 제어기설계된 것을 확인했습니다. ... 전류와 속도 제어기는 인가 전압이 정격 전압을 넘지 않도록 리미터를 추가하고 PI제어기를 사용하였고, I제어 특성으로 정상 상태 오차를 0에 수렴하도록 만들었습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.02.16
  • [A+]아날로그및디지털회로설계실습 6장 결과보고서
    회로서론의 [그림 1]과 같이 위상검출기 – RC 루프필터 – Vco로 피드백 회로를 설계하였다.출력파형은 5.361Vpp, 1.605kHz를 가진다.2.2 설계한 위상제어루프의 ... (c) 가변발진기가변발진기는 제어신호의 크기에 따라 출력되는 주파수가 변하는 발진회로다. ... 위상 제어 루프(PLL)4조 결과보고서요약: 위상 제어 루프를 설계하고 출력신호가 입력신호와 같아지는지 특성을 확인하였다. function generator로 Amplitude :
    리포트 | 19페이지 | 1,000원 | 등록일 2024.02.18
  • 한국방송통신대학 보건환경학과 작업환경관리 기말시험과제
    작업자의 작업에 방해가 되지 않도록 크기를 적절히 설계하는 것도 중요하다.위의 조건들을 고려하여 설계된 후드로부터 0.3m 떨어진 곳에서 용접 시 발생되는 흄을 제거하기 위해 제어속도 ... 또, 이용하는 사람들의 작업이나 활동에 지장을 주지 않으면서 오염된 공기가 모두 들어오도록 제어속도, 크기, 모양 등을 갖추어야 한다.후드는 필요환기량을 최소화하고 작업자의 호흡영역을 ... 또, 후드 설계 시에는 국제적인 설계기준을 사용하는 것이 바람직하다.
    방송통신대 | 3페이지 | 2,500원 | 등록일 2024.05.02
  • 공업수학1 ) 공업수학의 차원(次元, dimension) 도구 중 한 가지 선택 후 주제 대상의 효과적 활용에
    제어기는 비례 제어기, 적분 제어기, 미분 제어기 등 다양한 형태로 설계될 수 있다. 가장 간단한 형태로 비례 제어기를 고려할 수 있다. ... 오픈 루프 전달 함수는 제어기와 플랜트의 곱으로 나타난다. 이는 시스템의 오픈 루프에서의 전달 특성을 분석하는 과정이다. 이후에는 피드백 루프를 설계한다. ... 피드백 제어 시스템의 모델링 과정은 시스템 정의, 블록 다이어그램 작성, 수학적 모델링, 오픈 루프 전달 함수 계산, 피드백 루프 설계, 성능 분석 및 조정의 단계를 포함한다.
    리포트 | 5페이지 | 5,000원 | 등록일 2024.08.21
  • 소형모듈원자로
    미국 17기, 중국 8기, 일본 7기, 한국 2기 등나) (미국) N사의 SMR : 미 규제기관(NRC)로부터 표준 설계 인가 취득(20년 8월)다) (영국) “녹색 산업혁명을 위한 ... 혁신형 SMR 설계 개요(안)1) 일체형 원자로 : 대형 냉각재 상실사고 배제2) 밀봉형 일체 펌프 : 열손실 감소로 열출력 증가3) 나선형 증기 발생기 : 원자로 용기 소형화4) ... 한국 혁신형 소형모듈원자로(혁신형SMR) 개요170MWe 급 소형모듈원자로로서 무붕산, 내장형 제어봉 구동장치 등을 설계 적용하여국내외 SMR 대비 안전성, 경제성이 개선된 소형모듈원자로
    리포트 | 4페이지 | 1,500원 | 등록일 2022.09.27
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:55 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대