• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(15,541)
  • 리포트(13,615)
  • 자기소개서(722)
  • 시험자료(716)
  • 방송통신대(243)
  • 논문(215)
  • 서식(22)
  • ppt테마(5)
  • 이력서(2)
  • 기업보고서(1)

"제어신호" 검색결과 201-220 / 15,541건

  • 현대모비스 SW융합 임베디드 / 최신 합격 자기소개서 / 2022년 하반기 합격 자기소개서
    MCU의 타이머 기능을 활용하여 PWM 신호 출력을 활용한 임베디드 SW를 개발한 경험이 있습니다. ... (최소 500자, 최대 1,000자 입력가능)임베디드 전동화 분야의 차량제어기_BSW 직무는, MCU를 통해 차량의 전력 변환 제어 모듈을 개발하는 직무입니다. ... 학습한 모터 구동, 센서를 활용한 계측, 제어공학에서 배운 PID 제어를 로봇에 C언어로 프로그래밍하여 임무를 수행, 대회에서 수상하는 성과를 달성했습니다.위의 경험을 통하여 임베디드
    자기소개서 | 4페이지 | 4,000원 | 등록일 2023.05.01 | 수정일 2023.05.14
  • 자소서-부산교통공사
    본 경험으로 부산교통공사 신호직에 지원하여 철도신호제어시설물에 대한 선진적인 시스템을 구축하고자 지원하게 되었습니다.2) 규칙과 원칙을 준수하는 직업관안전수칙을 준수하고 안전사고예방 ... 이는 신호제어 설비 보수용 각종 도구 계측기 사용 기술과 도면작성 및 설계 기술 그리고 보수작업 순서 방법을 활용하는 능력을 익히는데 도움이 되었으며 후에 신호직 직무를 수행함에 있어 ... 철도신호제어시설물유지보수에 대한 장치별 동작특성 및 유지보수 작업수행계획 수립에 관한 지식을 이해하는데 큰 도움이 될 것이라 생각합니다.3.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.12.05
  • PLC와 Relay의 개념 및 활용에 대하여
    PLC는 산업용 자동화 시스템에서 사용되는 컴퓨터 기반 제어 시스템으로, 디지털 신호와 아날로그 신호를 입력받아 내부의 프로그램에 따라 출력 신호제어하여 자동화 시스템을 구동한다 ... 그러나 PLC는 다양한 입력 신호를 처리할 수 있으며, 프로그램을 통해 로직을 복잡하게 구현할 수 있다. ... 프로그래밍 언어와 하드웨어 구성 등을 표준화한 것이다.2) 장·단점PLC 장점 및 단점장점ㅇ 복잡한 로직 구현 및 프로그래밍 가능ㅇ 고장 발생 시 수리 및 교체가 쉬움ㅇ 여러 개의 입력 신호
    리포트 | 3페이지 | 2,500원 | 등록일 2023.04.03
  • 방통대 방송대 컴퓨터구조 5페이지 암기노트 핵심요약정리
    : 제어변수(선택신호-마이크로연산 선택) 묶음 / ALU(4), 시프터(3)[제어장치]역할: 기억장치 명령어 하나씩 읽고 해독 제어신호 발생 마이크로연산 명령어 수행구성: 명령어레지스터 ... 제어순소 상태도 설계)블럭도: (시간발생기) 명령어레지스터(IR)해독기(디코더)제어장치제어신호상태 플립-플롭 이용한 제어방식순서 레지스터와 디코더 이용한 제어방식: 결정논리순서레지스터디코더 ... : 기억장치/연산장치/입출력장치에 제어신호 발생내부버스: ALU레지스터 / 시스템버스와 연결레지스터세트: 기억장치 중 가장 빠름범용 레지스터(일시적 저장): 소규모, CPU 내부 (
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.04.10 | 수정일 2024.04.12
  • Switching Mode Power Supply(SMPS) 결과보고서
    전원을 넣는다.Vi에 5V를 인가하였다.④ Vref 전압을 변경하고 동작하는 구간과 그때 q의 Gate 제어신호 Duty와 출력전압을 확인한다. ... 이용하여 스위칭 신호를 넣는다.PWM 제어회로의 펄스파 출력을 Boost Converter의 MOSFET의 Gate에 연결하였다.③ 입력 전원 Vi에 Power Supply를 이용하여 ... 전원을 넣는다.Boost Converter의 입력 Vi에 Power Supply를 이용하여 5 V를 인가했다.④ Vref 전압을 변경하고 동작하는 구간과 그때 Q의 Gate 제어신호
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.21
  • 전남대 화공실험 압력제어 pre레포트
    제어기의 이득(gain)이며 m은 오차신호 e(t)가 0일 때의 제어기 출력신호 값으로서 조정이 가능하다 .제어기 및 제어 밸브에 따라 m(t) 의 단위는 psig나 mA이다. ... m(t) = m + 위에서 m(t)는 제어기로부터의 출력신호, r(t)는 설정값, (t)는 센서/전환기에 의해서 측정되는 제어변수, e(t)는 설정값과 제어변수의 차이로 정의되는 오차신호 ... PB는 달리 말한다면 제어기의 출력신호가 최소값에서 최대값으로 변하는 데에 필요한 % 오차신호를 의미한다.
    리포트 | 15페이지 | 2,000원 | 등록일 2022.05.30
  • 논리회로및실험 레포트
    SRAM의 모든 제어 신호는 FPGA 디바이스와 직접 연결 되어 있고, FPGA 디바이스에서 SRAM 핀을 제어하여 내부에 읽고, 쓰고 하는 작업을 하게 됩니다.7. ... 따라서 FPGA에 연결된 부분에 ‘1’이라는 신호 를 주어 LED를 제어 할 수 있도록 구성 하였습니다.4) 핀 구성표4. ... 이렇게 컨트롤 핀 설정을 통하여 메모리 영역의 제어가 이루어 집니다.3) 회로18개의 Address라인 과 16개의 Data 라인으로 구성되어 있고, 3개의 제어 신호를 통해 SRAM을
    리포트 | 15페이지 | 1,000원 | 등록일 2024.07.14
  • LS 엠트론 연구개발 SW 연구개발 합격 자기소개서
    또한, 진동, 소음, 모터 제어 등의 경험을 쌓고 제어 알고리즘에 대한 역량을 키웠습니다. ... 해당 연구를 통해 신호 계측과 신호 처리 및 가공, 인공지능 알고리즘에 대한 역량을 키웠습니다.위와 같은 노력과 경험을 통해 쌓아온 직무역량으로 LS엠트론의 성과 창출에 앞장서겠습니다 ... [자율 주행 제동 제어를 위한 인공지능 기반 **** 개발-석사 졸업 논문 주제]1. 연구 목표 및 배경자율 주행 자동차의 제동 제어를 위한 ****가 연구 목표였습니다.2.
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.01.29
  • 삼성전자 DS 부문 혁신센터 합격 자기소개서
    로봇 개발과 관련하여 제어, 회로, 기구, 배터리 등의 어려운 난관이 많았 습니다. 특히 팀원들이 모두 기계공학과이었기 때문에 모터 제어를 하는 데 상당히 어려움이 많았습니다. ... 취득한 *별, *별 ** 신호 특성에 대해 신호 분석을 하였습니다. 신호 분석을 통해 ** 인식에 중요 주파수 영역을 규명하고 **의 종류를 13개의 클래스로 정하였습니다. ... **의 능동적인 제어 로직 구현과 목표 감쇠량 달성을 위해 팀원들과 같이 밤낮없이 공부하였습니다.
    자기소개서 | 5페이지 | 5,000원 | 등록일 2023.01.30
  • 아날로그 및 디지털 회로 설계실습 결과보고서6
    위상이 꺠지는걸 확인할 수있었다.- 4 -(B) 동작 범위 내의 입력 신호와 출력 신호를 같이 보이도록 Oscilloscope를 조절하여 그 파형을 제출한다. ... 6-4-1 위상 제어 루프의 설계그림 6-2의 위상 제어 루프를 구성한다. ... (Op amp의 동작 전원은 ±5V이고, Logic gate의 동작 전원은 5V & GND이다.)입력단에 기준신호(Frequency : 5kHz, Amplitude : 0~5V.
    리포트 | 6페이지 | 1,000원 | 등록일 2024.07.08 | 수정일 2024.07.12
  • [부산대학교 응전실1(응용전기전자실험1)]AD DA 컨버터 응용전기회로 예비보고서
    또한 제어 시스템에서 디지털 제어 신호를 아날로그 제어 신호로 변환하여 모터 등을 제어하는데 사용됩니다.2) 래더형 D/A 변환기를 수식을 활용해 증명하시오.위의 그림은 레더형 D/ ... 디지털 신호로 변환하는데 사용합니다.* D/A 변환기D/A 변환기는 디지털 신호를 아날로그 신호로 변환하여 출력합니다. ... .* A/D 변환기A/D 변환기는 아날로그 신호를 일정한 시간 간격으로 샘플링하여 디지털 신호로 변환합니다.
    리포트 | 3페이지 | 1,000원 | 등록일 2023.10.01 | 수정일 2024.03.22
  • 중앙대학교 전자전기공학부 전과 합격자소서(학업이수계획서)
    제가 관심을 가지고 있는 분야는 제어시스템과 신호처리입니다. ... 예를 들어, 회로 설계 및 실험, 제어 시스템 설계, 신호 처리 프로젝트 등을 수행하여 이론을 실제 응용에 적용하는 능력을 향상시킬 계획입니다. ... 전자전기공학의 기본 개념과 이론을 체계적으로 이해하기 위해 전자회로, 디지털시스템, 신호 및 시스템, 제어시스템 등의 필수 과목을 최우선으로 이수할 것입니다.
    자기소개서 | 4페이지 | 4,000원 | 등록일 2023.07.11
  • A+ / 디지털시스템설계 가/감산기 실험보고서
    제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작)을 확인한다.③ 7483 IC소자를 이용하여 4비트 가산기를 회로도에 맞게 제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작 ... 실험내용 및 방법① 프로그래머를 반 가/감산기의 회로도를 설계하고 제어신호와 입력 신호에 맞게 출력되었는지 출력(논리동작)을 확인한다.② 프로그래머를 반 가/감산기의 회로도를 설계하고 ... 프로그래머블 반 가/감산기제어입력신호입력출력CtABCout/BoutS/D전압논리전압논리000*************00051011510010000001015151110005111100002
    리포트 | 8페이지 | 2,000원 | 등록일 2023.08.15
  • [한양대 기계공학부] 동역학제어실험 실험10 적분기와 미분기의 주파수 응답특성 A+ 자료
    실험 목적제어기의 Feedback 을 위해서는 Error 신호를 변환해주는 제어기가 필수적이다. ... P 제어기, I 제어기, D 제어기 ]위 [그림.1] 에서처럼 시스템의 입력 방법은 오차에 계수를 곱하는 방법(P 제어), 오차의 적분값에 계수를 곱하는 방법(I 제어), 및 오차의 ... 현대에는 제어기가 디지털 로직 상으로 제어기가 구현되고 있지만, 본 실험에서는 PID 제어기를 아 날로그 상으로 구현하는 방식을 다루게 된다2. 실험 이론2-1.
    리포트 | 23페이지 | 3,000원 | 등록일 2023.01.07
  • 생명공학과인간의미래
    뇌파, 뇌전도, 뇌자기유도 등 다양한 신경 신호를 컴퓨터로 전달하는 기술이 개발되었으며, 이를 통해 휠체어, 인공지능 보조기기 등 다양한 장치를 제어할 수 있게 되었다. ... 예를 들어, BCI를 통해 뇌의 신호를 해석하여 뇌의 상태를 파악하거나, 뇌의 기능을 제어하여 질병을 치료할 수 있다.BCI의 기술 활용에 있어 제기될 수 있는 윤리적 문제를 보면 ... BCI는 뇌의 신호를 컴퓨터로 전달하여 외부 장치나 기계를 제어하거나, 컴퓨터의 정보나 지시를 뇌에 전달하여 인간의 능력을 향상시키는 기술이다.최신 과학적 연구 결과에 따르면, BCI의
    방송통신대 | 8페이지 | 5,000원 | 등록일 2023.11.10
  • 컴퓨터 구조 계산기_quartus 설계_2024
    그중 하드와이어 방식은 조합 회로로 구성된 제어 unit이 명령어, 각종 flag 등으로부터 신호를 받아 제어신호를 만든다. ... FA에 B 입력을 XOR로 달아 감산기 역할도 할 수 있게 만들었다.제어신호인 M이 T6일 때만 감산기(1)이고 나머지 타이밍에서는 가산기(0)로 동작된다. ... ;은 동시 실행이 가능한 연산임을 표기한 것이고 ;;은 클럭이 필요한 연산을 표기한 것이다.# Timing Pulse제어 신호를 만드는 방법은 여러 가지가 있다.
    리포트 | 17페이지 | 2,000원 | 등록일 2024.06.07
  • 회로를 구성하는 소자 중 수동소자(저항, 인덕터, 커패시터)들의 개념과 기능 및 용도들을 설명해보자.
    이 중에서 수동소자는 회로의 동작을 제어하거나 신호를 처리하는 데 사용되는 중요한 부분이다. ... 저항의 용도는 아래의 표와 같다.용도설명전류 제어저항은 회로에서 특정 부분에 전류의 양을 제어하기 위해 사용된다. ... 저항의 주된 기능은 전기 회로에서 전류를 제어하여 원하는 전압이나 전류 값을 얻을 수 있도록 도와주는 것이다.
    리포트 | 4페이지 | 2,500원 | 등록일 2023.11.27 | 수정일 2024.04.24
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 6차 예비보고서
    실습을 위한 이론적 배경 위상 제어 루프는 전압 제어 발진기의 출력 위상을 입력 신호의 위상과 비교하여 두 신호의 위상차이를 가지고 전압 제어 발진기를 제어하는 피드백 시스템이다. ... 전압으로 출력하는 기능과 High frequency noise 를 줄여주는 기능을 한다.이 루프 필터의 출력 전압은 결국 위상 검출기 출력의 평균전압, 즉 위상 검출기의 두 입력 신호 ... 이때 PLL 의 3개의 기본 요소는 위상 검출기, 루프 필터, 가변 발진기(전압 제어 발진기)로 위 그림에서 확인할 수 있다.먼저 위상 검출기는 Reference voltage
    리포트 | 16페이지 | 1,000원 | 등록일 2024.02.17
  • 컴퓨터구조 ) 에지트리거형 플립프롭(D-, JK-, T-)의 특성을 비교하고 설명해보자
    다양한 디지털 시스템에서 상태 제어와 시퀀스 제어에 활용된다. ... 초기 상태 설정과 상태 제어에 활용되며, 복잡한 시퀀스 제어에 유용하다. ... JK-플립플롭은 복잡한 시퀀스 제어에 활용될 수 있다. J와 K 입력의 값을 조절하여 특정 패턴이나 시퀀스를 생성하고 제어할 수 있다.
    리포트 | 7페이지 | 5,000원 | 등록일 2023.12.14
  • 메이슨 법칙에 설명하고 예를 들어 흐름선도를 이용한 예를 자세하게 설명하시오.
    흐름선도의 개념흐름선도는 제어 시스템 내에서 신호가 이동하는 경로를 시각적으로 표현한 다이어그램으로, 시스템의 구조를 한눈에 이해할 수 있도록 도와줍니다. ... 경로를 시각화하여, 신호가 어떻게 전달되는지를 보여줍니다. ... 서론제어공학은 복잡한 시스템의 동작을 분석하고 제어하는 방법을 연구하는 학문으로, 현대 산업 전반에서 필수적인 역할을 담당하고 있습니다.
    리포트 | 4페이지 | 2,000원 | 등록일 2024.08.13
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:28 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대