• 통큰쿠폰이벤트-통합
  • 통합검색(3,155)
  • 리포트(2,784)
  • 자기소개서(186)
  • 시험자료(92)
  • 방송통신대(63)
  • 논문(27)
  • 서식(3)

"통신응용실험" 검색결과 201-220 / 3,155건

  • 아두이노 복습 실험 예비보고서
    통신 포트 선택(7) 업로드 버튼을 눌러 업로드한다.(8) 보드의 TX/RX LED가 깜빡이고. ... 보드 종류 선택(6 [그림 9]과 같이 통신 포트를 선택한다. (보통은 하나의 포트가 활성화되어 있음)그림 9. ... 문제 발생시 3으로 돌아가 디비깅아두이노 통합 개발 환경(IDE)아두이노 IDE는 Java와 C를 기반으로 개발되는 크로스 플랫폼 응용 소프트웨어이며, 구문 강조. 괄호 찾기.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.04 | 수정일 2021.04.08
  • [진로활동][세특][특기사항예시][수시][대입] 진로활동 사례별 특기사항 작성법 예제입니다.
    전기, 컴퓨터, 통신, 생명공학, 우주산업까지 다양한 분야에 걸쳐 응용되며 자신은 정보시스템 운영자, 반도체와 통신 회사 연구개발진, 응용 소프트웨어 개발자를 목표로 가지고 있음. ... 이를 통해 지반의 진동수와 건물 고유진동수의 관계가 중요함을 인식하고 공명현상을 막기 위한 건물의 구조를 모둠원과 탐색하여 모형을 제작하고 실험을 통해 결과를 확인하는 모습에서 건축학적 ... 인간의 질병 치료와 무병장수를 희망하는 끝없는 욕망은 앞으로 제약 산업이 계속 발전될 것임을 인식하는 계기가 되었음.예제 12‘5분 발표’하기에서 전자기기들을 접하면서 자신도 정보통신
    리포트 | 6페이지 | 3,000원 | 등록일 2022.03.31
  • 4차 산업혁명시대의 정보통신개론 내용 정리
    망망 이식 등 실험 전개. ... 구조물에 반도체, 기계, 광 등 초정밀 반도체 제조 기술을 융합하고 미세 가공하여 전자기계적 동작할 수 있도록 한 마이크로 단위의 작은 부품 및 시스템, 또는 이를 설계, 제작하고 응용하는 ... 가지고 있는 자원(프로세서, 기억장치, 입출력 장치, 파일 및 데이터, 분산 및 보호기능)들을 효율적으로 관리*API(App Programming Interface) : 운영체제와 응용프로그램
    시험자료 | 15페이지 | 1,500원 | 등록일 2021.04.06
  • 암호 응용 프로토콜에는 무엇이 있는지 그 종류와 역할에 대하여 조사하시오.
    암호 응용 프로토콜의 종류 -4-3. 암호 응용 프로토콜의 역할 -8-4. 개인적 생각 -14-5. 참고 자료들 -15-암호 응용 프로토콜이란? ... ) 지역에서 실용실험에 들어간 것이 최초이다. ... 영국 통신회사 BT가 개발한 공중전화를 사용하여, 사용자는 은행창구에 가지 않고도 돈을 MONDEX카드로 옮길 수 있게 되어 있다.
    리포트 | 15페이지 | 5,000원 | 등록일 2021.04.22
  • 평가위원 등록 서류
    , 반도체장비, 중전기기, 반도체소자/시스템, 전기전자부품, 가정용기기/전자응용기기, 계측기기, 영상/음향기기, 전지, 디스플레이,무기센서 및 제어, 기타 전기/전자 등정보/통신정보이론 ... , 소프트웨어, 정보보호, 광대역 통합망, 위성/전파, 이동통신, 디지털방송, 홈네트워크, RFID/USN, U-컴퓨팅, 정보통신 모듈/부품, ITS/텔레매틱스, 재난정보관리, 국방정보통신 ... 소비자, 의류, 주거, 기타 생활 등지리/지역/관광도시/지역개발, 지적/지리정보, 인문지리, 자연지리, 지역/지리비교, 부동산, 관광, 기타 지리/지역/관광 등심리심리학 일반, 실험심리
    서식 | 4페이지 | 500원 | 등록일 2022.08.02
  • 2023 2학기 컴퓨터 보안 활동 중간고사 컴보활 족보(A+)
    통신자 간에 데이터를 몰래 엿듣는 공격기법에는 '스푸핑'이 있다.X6. ... 여기서 멀티미디어 프로그램은 응용 소프트웨어에 해당한다.X7. ... [보기]데이터(DATA)는 실험이나 측정으로 수집된 사실들이나 값에 부가가치를 창출할 수 있도록 가공의 과정을 거쳐 생성된 결과를 의미한다.X25.
    시험자료 | 7페이지 | 2,000원 | 등록일 2024.01.02 | 수정일 2024.02.28
  • 기술과사회 독서보고 10장
    작업의 연구에 실험적인 방법을 처음으로 사용한 사람은 테일러가 아니라 노동과 정의 조직에 대해서 철저하게 논의했을 뿐만 아니라, 동일한 개념을 정신적 분업에까지 응용하였고, 원시적 ... 정보 통신, 조선, 항 공, 기계, 화공, 토목 등 어느 기술 분야라도 군사나 군수에서 최대의 수요처가 있었다. ... 자동화의 핵심은 모든 제조 공정에 응용 가능한 일반 공작 기계의 자동화에서도 볼 수 있다.
    리포트 | 1페이지 | 3,000원 | 등록일 2021.05.16
  • 셀트리온 설비 직무 전기전자공학과 합격 자소서 최신판
    기존에 다른 과목들은 통신이면 통신, 회로면 회로, 이런 식으로 한 분야에 집중된 경우가 대부분이었습니다. ... 마지막으로, 여러 책에 나오는 문제들을 유형별로 분류함으로써 다양한 응용 방향을 익히면서 시험을 준비했고, 취약한 부분을 쉽게 보강할 수 있었습니다.목표가 있을 때 하는 공부에는 지루함이 ... 장비가 수리되기를 마냥 기다릴 수 없었고, 대처방안으로 원하는 용액과 비슷한 특성이지만 극성이 더 강한 용액을 사용하였고, 낮은 전압에도 미세한 프린팅이 가능하도록 실험을 재설계 하여
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.08.08 | 수정일 2022.09.20
  • SK하이닉스 품질보증 합격자소서
    다단 증폭기(CE-CE-CC)로 오디오와 스피커와 같은 실제 낮은 부하저항에도 높은 증폭률을 유지하여 출력신호가 전달될 수 있도록 하는 회로를 구성하고, 차동 증폭기를 같이 응용하여 ... 목표한 증폭률을 얻을 수 있었습니다.프로젝트와 별도로 차동 증폭기와 같은 다른 소자들을 이용하여 같은 기능을 구현할 수 있을까에 대해서도 스스로 탐구해볼 수 있었습니다.이러한 경험을 응용하여 ... 위해 감수해야 했던 점/ 구체적인 실행 과정 및 결과/ 경험의 진실성을 증명할 수 있는 근거가 잘 드러나도록 기술) (700~1000 자 10 단락 이내)* = 창의성 증명한국전자통신연구원
    자기소개서 | 8페이지 | 3,000원 | 등록일 2021.11.04
  • 시스템분석설계 ) 컴퓨터 시스템의 종류 4가지를 열거하고 각 컴퓨터 시스템의 구체적인 내용을 상세히 나열해 주세요
    또한 프로그램 오류 수정을 위해서는 오류가 발생했을 상태를 그대로 출력한 후 정적으로 수행해야 하며 긴 반환 시간 때문에 프로그램을 여러 개 돌리는 실험은 부적절 하다. ... CPU의 활용도를 높이거나 실시간 대화형 처리 등을 하는 역할을 하며 프로그램의 개발, 그래픽 처리, 그리고 사무 자동화 등에 응용될 수 있을 것이라 판단됩니다. ... 대한 빠른 응답시간- 보안 통제성- 동시 운영성이 같은 Time-sharing system의 경우 사무 자동화, 온라인 정보검색, 프로그램의 개발 및 그래픽 처리 같은 분야에 주로 응용됩니다
    리포트 | 7페이지 | 5,000원 | 등록일 2023.01.06
  • 고려대학교 일반대학원 경영학과(경영대학) 연구계획서
    , 수익률지수와 머신러닝을 결합한 주식투자전략 연구 등을 하고 싶습니다.저는 또한 중국 상장기업의 지분집중과 금융정책 연구, 사람 중심 운영의 성별 불일치 및 편견: 무작위 현장 실험의 ... 주제 기반 추출 연구 등을 하고 싶습니다.저는 또한 모바일 인터넷 사용자의 문화적 특성에 대한 측정항목 연구, 예약 환자의 진료소 등록 및 무작위 예약 시 대기 시간 분석 연구, 응용 ... 비대칭 의존이 인터넷 기업 실패에 미치는 영향 연구, 현대자동차의 기아자동차 인수와 구조조정 연구, 라오스의 청소년 성적 행동에 대한 사회화의 영향 연구, 미국 통신서비스 공급사슬에서의
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.02.01
  • 실기교육방법론 ) 행동주의 학습이론에 대한 정리 - 행동주의 학습이론에는 고전적 조건형성 이론, 시행작오설, 조작적 조건화설이 있는데 3가지 학습이론에 대한 개념적 정의,
    정보를 입력하여 결과물을 도출하여 단계적으로 정보를 처리하는 것인데, 이는 인간 행동을 자극과 반응에 따른 행동의 변화로 보는 행동주의 관점과 유사하여 행동주의 학습이론의 원리가 응용되는 ... 효과적인 교육 방법의 하나로 인정받고 있다.정보화 시대에 따라 학습 환경이 변화하면서 인터넷이나 가상공간을 활용하는 e-learning과 시뮬레이션 학습이 생겨나는데 이러한 학습은 정보통신 ... 여기서 조작적 행동을 통한 조건형성을 조작적 조건화 또는 도구적 조건화라고 한다.실험 결과에 의한 학습 원리는 다음과 같다.첫째, 강화이다.
    리포트 | 6페이지 | 5,000원 | 등록일 2024.08.10
  • 1. 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.
    참고문헌1) 세명대학교 정보통신학부, 디지털 공학실험, 디지털 시계 프로젝트, 2010년 11월15일, Chapter1-세명대학교, https://www.google.co.kr/search ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.2. 4가지 기본형 레지스터의 분류에 속하는 IC들을 정리하시오.분주회로카운터회로디코더회로표시회로발진회로1. ... 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오.1) 디지털 시계[디지털 시계의 블록 다이어그램]2) 발진회로> 디지털 시계에 안정적인 클록을 제공할 목적으로
    리포트 | 6페이지 | 4,000원 | 등록일 2019.12.04
  • 경상대학교)공학보고서작성 중간 기말 족보
    실험 노트를 쓸 때 유의사항으로 올바르지 않을 것을 고르시오.① 실험노트는 단단하게 묶여져 있는 것만을 사용한다.② 실험 중 얻어진 결론은 나중에 한 번에 모여서 기록하도록 한다,③ ... 실험자의 이름과 날짜를 기록한다.④ 실험결과를 계산하고 그 결과를 바탕으로 그림이나 표로 작성한다.24. ... , 고화질TV, 시디, 멀티미디어에 응용되는 기술③ 정지화상에 대한 압축 기술인 JPEG기능에 프레임 압출기능을 더하여 프레임 사이의 중복을 제거한 상태에서 동영상으로 압축하는 방법④
    시험자료 | 16페이지 | 6,000원 | 등록일 2021.05.18 | 수정일 2021.05.20
  • 문화기술과 사회변동 기말고사
    이를 통해 전자파 존재 증명이 이론을 보다 쉽게 증명한 학자: 헤르츠전자기파의 주파수를 측정하는 단위 “헤르츠”실험도구를 활용주파수 관련 진동으로 증명해 냄주파수진동수. 1초당 진동스 ... 페이에 지문인식 기능을 정식 추가하며 처음으로 안드로이드 OS에 생체인식 기술을 지원함*안면인식 시스템=얼굴 인식 시스템: 이미지를 통해 개개인을 자동으로 식별하는 컴퓨터 지원 응용 ... 매트릭스 인수-구글은 핏팻과 뷰들 인수-애플은 프라이센스 리얼 페이스 인수[얼굴인식 SW의 발전]*얼굴인식 시스템: 디지털 이미지를 통해 각 사람을 자동으로 식별하는 컴퓨터 지원 응용
    시험자료 | 126페이지 | 3,000원 | 등록일 2020.07.22
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab02(결과) / 2021년도(대면) / A+
    ASIC의 이해- 특정한 전자/정보통신 제품에 사용할 목적으로 설계된 비메모리 반도체 칩으로, 복잡한 큰 디지털 시스템은 앞서 소개한 TTL 등으로 제작할 수 없고 고집적 ASIC으로만 ... , Cin(Button SW3) / 합은 S(LED9) / 올림수는 Cout(LED1)ABCinSC0000000110010100110110010101011100111111(5) [응용과제 ... 실험 이론(1) ASICa.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.07.15
  • [공학화학실험] 무기 안료의 합성 (예비)
    실험날짜 및 제목2017년 10월 23일 (월), 무기 안료의 합성2. 실험 목적안료로 사용되는 무기 화합물을 합성하여 그 특성과 응용에 대하여 알아본다.3. ... 실험방법실험 A. ... 도료, 인쇄잉크, 회화용 크레용, 고무, 통신기계, 요업제품, 합성수지 등 그 용도가 넓다.2) 색 (color)가시광선의 명암과 분광 조성에 대한 시 감각 또는 색 감각, 색 감각을
    리포트 | 3페이지 | 1,000원 | 등록일 2020.09.01 | 수정일 2020.10.07
  • DB하이텍 공정기술 합격자소서
    이러한 기계공학적 지식을 보완하기 위해 로보틱스를 수강하여 기구 설계, 센서 및 액추에이터, 통신, 그리고 제어에 응용할 수 있는 능력을 갖췄습니다. ... 이론적으로는 정 비례 관계를 갖지만, 제가 실제로 실험한 결과에서는 엄청난 오차를 나타내었기 때문입니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.11.29
  • [생기부][고교생기부][입시][수시] 진로활동 특기사항 기재 예문입니다. 학생들의 활동 상황을 예상해 실감 나게 잘 작성된 예문입니다. 모두 23개의 정선된 예문이 탑재되어 있사오니 많은 이용 바랍니다.
    진로 체험 활동으로 응용 화학과의 ‘미니 태양광 자동차 만들기’, 기계 시스템공학부의 ‘리틀 비츠 - 소리 엔진 자동차 만들기’라는 프로그램을 참여하여 체험을 통한 각 학과에 대한 ... 하지만 실험 준비가 미숙하여 활동의 끝을 맺지 못하였고 이를 극복하기 위해 실험 준비 과정에 관한 책과 실험 도구, 안전 수칙을 안내하는 내용으로 발표를 진행함. ... 융합하여 소비자와 생산자가 양방향으로 통신하고 실시간으로 정보를 얻으며 전력 수급 상황별 차등 요금제를 적용해 소비자가 자발적인 에너지 절약을 실천할 수 있음을 알게 됨.
    리포트 | 12페이지 | 5,000원 | 등록일 2023.09.22
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    Digital Design with an Introducton to the Verilog HDL 5thedition3) 연세대학교 정보통신용 SoC설계연구실 Verilog 문법 교안4 ... A(BUS SW1~4), B(Bus SW5~8) / 출력은 Y(LED1~4)ABY001101010110(6) [응용과제] 다음의 1-bit full adder 회로를 gate primitive ... 실험 장비 및 재료가. 실험 장비HBE Combo-II SE3.
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대