• 통큰쿠폰이벤트-통합
  • 통합검색(4,553)
  • 리포트(2,633)
  • 자기소개서(1,822)
  • 시험자료(44)
  • 방송통신대(28)
  • 논문(13)
  • 이력서(7)
  • 서식(3)
  • ppt테마(3)

"회로프로젝트" 검색결과 201-220 / 4,553건

  • 디지털 논리회로 실험 텀 프로젝트 발표(간단한 디지털 주사위 게임)
    사용부품, 공구기판FND0.33uF커패시터10K·33K저항스위치IC(HA17555, 74LS192, 74LS47, 74LS10)AA Size 건전지x4ea용 건전지홀더전선와이어스트립퍼납땜인두 작품의 동작스위치를 누르고 있음으로 인해 펄스파형을 업카운터에 인가-555 타..
    리포트 | 11페이지 | 2,000원 | 등록일 2010.06.11
  • 디지털 시스템 및 논리회로 프로젝트 보고서
    세부적인 작동 과정- 14 ~ 41▣프로젝트 진행간 수정 및 보완사항- 41▣프로젝트 후기- 42※프로젝트 관련 첨부 데이터 시트- 43 ~ 49‘디지털 시스템 논리회로 시계 프로젝트 ... ‘디지털 시스템 및 실습 프로젝트’목 차▣프로젝트의 목적- 2▣디지털 시계의 논리회로도 분석 및 개요- 2 ~ 4▣시계에 사용되는 재료 및 각각의 IC의 분석- 5 ~ 13▣회로의 ... ’▣ 프로젝트의 목적‘디지털 시스템 및 실습 프로젝트’: 디지털 시스템 강의시간에 들은 기초 지식을 바탕으로 프로젝트에 주어진 회로도의 구성을 분석하고 동기식 카운터를 이용한 디지털
    리포트 | 49페이지 | 3,000원 | 등록일 2008.02.10
  • 2012년 연세대학교 디지털 논리회로 테오뱅진 교수님 프로젝트
    Logic AidThe state graph above is drawn in logicAid. One D-FF means one taillight.So 6 FF needs here. I assumed that when the state reach at S7 , it c..
    리포트 | 11페이지 | 3,000원 | 등록일 2012.06.29
  • [보고서+소스코드]아주대 논리회로실험 기말프로젝트 VHDL 신호등 설계(Traffic Lights) 보고서
    프로젝트의 목적 HBE-COMBO II 보드에 장착되어 있는 Traffic Lights Module을 이용하여 실제 실생활에 쓰이는 신호등의 컨트롤러를 구현한다.
    리포트 | 30페이지 | 3,800원 | 등록일 2014.10.04 | 수정일 2017.08.03
  • 논리회로 설계 및 구현-프로젝트명 : 디지털 시계 제작을 통한 논리회로 설계 및 구현
    논리회로 설계 및 구현프로젝트 보고서디지털 시계 제작논리회로 설계 및 구현프로젝트 보고서프로젝트명 : 디지털 시계 제작을 통한논리회로 설계와 구현과 목논리회로교수님조 원제출일2010년 ... 설계회로도1) 전체 회로도그림2 디지털 시계 블록도2) 회로 상세 분석a. 1Hz clock 발생회로기준 신호 클록 발생회로는 디지털시계의 정확도에 매우 큰 영향을 주게 된다. ... 설계회로도 121) 전체회로도122) 회로 상세 분석134. 개발 일정 215. 구현 226. 시험 287. 기타사항 288.
    리포트 | 76페이지 | 4,000원 | 등록일 2010.09.13 | 수정일 2014.11.20
  • [회로이론] 회로프로젝트-피스파이스로 회로 구성하기
    토의사항이번 프로젝트는 수업시간에 배운 3상 3선식을 직접 PSPICE에서 구현하여 확인하는 것 이였다. ... 회로란 전압원과 부하(임피던스)가 3개씩 연결되어 있는 회로로 제일 밑에 그림과 같은 구성으로 이루어져 있다. ... 기초회로이론 PROJECT REPORT#2-3상 전력측정법으로 전력 구하기-담당교수: 최윤식 교수님학번:학과: 전기전자공학부이름:1.실험 목적3상 3선식 회로를 알고 2-Watt meter
    리포트 | 9페이지 | 2,000원 | 등록일 2005.06.10 | 수정일 2023.05.27
  • 2011년 연세대학교 전자회로1 프로젝트 김태욱 교수님 BJT 설계
    프로젝트를 수행하면서 설계라는 것이 이런 것 이구나라는 것을 아주 조금이나마 느낄 수 있었다. ... 처음 회로 설계시 Common emitter한개로 설계를 하였는데, 목표로 하는 전력소비 최소를 맞출 수가 없었다. ... 실제로 회로설계에서 power consumption이 굉장히 큰 비중을 차지한다고 생각하기 때문이다.
    리포트 | 10페이지 | 3,000원 | 등록일 2011.11.18
  • 7-Segment 를 이용한 디지털 시계(디지털논리회로프로젝트)
    1. Purpose - Training Kit에서 지원하는 1 MHz 수정발진기의 출력 및 7-Segment를 사용한 디지털 시계의 설계. • 설계 사양① Reset 시 00:00:00 가 됨② 1 MHz 수정발진기를 사용할 것③ 초 단위 Display④ 10분..
    리포트 | 9페이지 | 1,500원 | 등록일 2009.05.23
  • [기초전자회로실험]프로젝트 제안서 파워서플라이 (Power supply)
    값을 디지털 값으로 변환 *전압값에 따른 디지털 값을 출력*배경이론* ICL7660 * 7805*A/D converter 회로*A/D converter 회로*역할분담 및 추진일정역할분담이 ... . * 제너 다이오드(1n4733)을 사용하여 리플 전압 제거 및 저항에 관계 없이 출력 직류 전압을 일정하게 유지*회로도*배경이론*배경이론A/D 변환기 (ICL7107) *아날로그
    리포트 | 11페이지 | 1,500원 | 등록일 2010.09.10
  • 응용전자회로 2차 프로젝트 입니다. butterworth 설계 / bandpass filter설계가 포함되어 있습니다.
    (단 여기서 )전달 함수에 따른 회로의 구성Butterworth의 결과식으로부터 N=4라는 것을 알게 되었는데 구현할 Biquad 회로는 한 단의 경우 최대 2차의 회로만 표현할 수 ... 설계한 회로의 모든 파라미터(저항, 정전용량 등)를 표시하고, 회로도와 전달함수의 Bode plot, 시뮬레이션 결과에 대한 discussion 을 첨부하시오. ... 응용전자회로 (2009 Spring)담당교수: 권익진Design Problem #2 (Due Date: 5/28/2009)[1] KHN biquad 회로(Text book Figure
    리포트 | 18페이지 | 3,000원 | 등록일 2011.07.13
  • 디지털 논리회로 실험 텀 프로젝트 - 디지털 번호키
    회로를 통해 구현. ... 조합한 Comparator 회로이다. ... 디지털 논리회로 실험Term Project- 디지털 번호키 -디지털 번호키1.
    리포트 | 11페이지 | 2,000원 | 등록일 2008.11.27 | 수정일 2019.04.12
  • [기초회로실험] 거리 근접 정도 측정 LED 프로젝트 PPT
    거리 근접 정도 측정 LED-1-C O N T E N T S설계목표설계추진 체계 일정설계배경설계내용Contents소요부품활용분야참고문헌-2-설계 배경 – 설계의 필요성 및 중요성프로젝트의 ... 더욱 밝게 점등되는 회로를 계획 +LED의 수 추가-3-설계목표-설계목표 저항, 가변저항, 다이오드, 트랜지스터, 적외선 수광, 발광 소자, 발광 다이오드를 회로도에 맞게 연결하고 ... 제작 설계 준비 ■장 지 훈 자료 수집 회로의 시뮬레이션 회로 제작 설계 준비-8-소요부품-9-활용분야활용분야 공원의 가로수, 톨게이트, 지하주차장, 현관 센서 시스템 등.
    리포트 | 12페이지 | 2,500원 | 등록일 2008.12.21
  • [기초전자회로실험]프로젝트 결과보고서 Mini amp 캐패시터 응용작
    프로젝트 결과보고서MONO MINI AMP조2조과 목 명기초전자회로및실험1학 번/성 명제 출 일캐패시터 제작과 실험(1) 과제선정 및 목표●캐패시터의 기본 원리를 이해하고 캐패시터를 ... 제작방향:- 회로도를 이해- 음질 보다는 안정성 위주- 캐패시터를 활용한 음질 비교- 부품 구입의 용이성, 소액의 제작 비용- 음량 키우기? ... 이를 전자회로의 기호표기에서 전류방향을 화살표로 나타낸다.트랜지스터의 전원 연결은 에미터 쪽에 그려진 화살표 방향으로 전류의 방향이 되도록 연결한다.
    리포트 | 16페이지 | 2,500원 | 등록일 2010.09.10
  • 전자회로설계및실험 프로젝트 제안서 함수발생기function generator
    역할 분담 및 프로젝트 일정이름역활작품제작, PSPICE 검증 보완 및 최종발표제품제작, 회로, PPT·보고서작성작품제작, 회로테스트, 제작검토작품제작, 이론조사, 자료수집 및 부품구입월내 ... 프로젝트 제안서Function generator조과 목 명전자회로실험 및 설계학 번/성 명제 출 일2010. 4. 05프로젝트 제안서■ 프로젝트명 : Function generator1 ... 과제선정 동기이번 프로젝트는 학기 동안 배웠던 내용에 대한 지식을 응용하여 확인하고, 나아가 더 높은 지식 습득을 할 수 있는 계기입니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2010.09.10
  • 논리회로설계실험 프로젝트 - vhdl을 이용한 ATM기 설계
    ATM기 설계(Final-term Team Project)1. Purpose학기 수업 중 배웠던 VHDL의 이론을 바탕으로 프로그램을 구현한다. 입, 출력이 있는 VHDL프로그램의 특성을 활용해서 일상생활에서 널리, 유용하게 사용되고 있는 ATM(Automatic Te..
    리포트 | 20페이지 | 3,000원 | 등록일 2009.06.24
  • IC소자를 이용한 디지털회로 설계 텀프로젝트-사거리 신호등
    작품의 실제 구성회로도를 작성하고 문제점 등을 생각한 후 브레드보드에 작품을 구성하였다. ... NE555의 출력 공식은 첫 번째 텀 프로젝트에서 쓴 바와 같다.3. 블록도1. 작품 구성 시 문제점LED의 부족으로 사거리 신호체계중 반만 실제 설계 하였다.
    리포트 | 4페이지 | 2,000원 | 등록일 2010.06.11
  • 인하대 전자회로 실험 및 설계2 기말 프로젝트 ATMEGA128을 이용한 숫자야구게임 + 해적복불복게임 (코딩소스 + 발표 ppt대본첨부)
    인하대학교 전자회로 실험 및 설계2 기말 프로젝트 내용입니다.설명사진에 보이듯이 숫자야구게임과 해적룰렛게임 두개를 할 수 있도록 하였습니다.프로그램 소스 전체와 간단한 ppt와 대본을
    리포트 | 5,000원 | 등록일 2015.11.27
  • cds를 이용한 미션임파서블 경보기 회로도 있어요 [2010최신] 프로젝트
    프로젝트 진행일정작품선정 및 이론연구 회로구성재료 구입 작품제작구현 및 작동 TEST 수정 및 보완 프로젝트 발표1주 2주 3주 4주1주 2주 3주 4주2주 3주 4주 5주1주 2주 ... 과제 선정 및 목표기초전자 회로 및 실험 시간에서 실습한 내용을 바탕으로 우리가 직접 전자 장치를 제작함으로 써 다양한 회로설계 능력과 IC, Phototransistor, transistor
    리포트 | 19페이지 | 3,000원 | 등록일 2010.11.30
  • [전자회로]전자회로 앰프설계 연세대학교 프로젝트
    프로젝트에서 소자의 개수에 제한을 두지 않았고, 충분히 이론적으로도 가능한 이야기이다.하지만 위의 회로를 그대로 복사해서 2단 직렬으로 구성했을때, 완전 판박이가 되지가 않는다. ... 전자회로 Term Project - #2전자회로 Term Project - #2#2. ... 하지만 그렇다고 “찍기” 혹은 “때려맞추기”의 수치는 (운이 좋으면 좋은 결과를 낼 수도 있지만) 프로젝트 진전에 영향이 없기 때문에, 각 파라미터와 변수들이 어떤 다른 파라미터에
    리포트 | 26페이지 | 2,500원 | 등록일 2006.01.21
  • [응용전자프로젝트] KHN biquad 회로, Wien-bridge oscillator와 limiter
    < 설계 프로젝트 #1 >[1] KHN biquad 회로(Text book Figure 12.24 참조)를 이용해 다음 조건을 만족하는 Chebyshev 필터를 설계하고 SPICE ... 회로 설계 시 OP-AMP는 ua-741회로를 사용하시오. ... ▷은 KHN biquad 회로 1개,은 KHN biquad 회로 1개,은 1차 능동 filter(LP)로 구성한다.파라미터 입력 전의 5차 chebyshev filter 회로 구성-
    리포트 | 17페이지 | 2,000원 | 등록일 2009.01.19
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:20 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대