• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(780)
  • 리포트(753)
  • 시험자료(17)
  • 자기소개서(6)
  • 방송통신대(4)

"4bit adder" 검색결과 201-220 / 780건

  • [아주대학교 A+] 논리회로 기말고사 족보
    단 입력 S의 값은 변화 없다. [10점]4. ... 다음의 논리함수를 2-to-1 (2-input 1-bit output) multiplexers 만을 최소로 사용하여 설계하시오. ... 아래의 full adder를 active-low 출력을 가진 3-to-8 decoder 1개와 2개의 NAND gates 만을 이용하여 설계하시오.
    시험자료 | 1페이지 | 2,000원 | 등록일 2023.07.04 | 수정일 2024.01.24
  • 서울시립대 전전설2 Lab-03 결과리포트 (2020 최신)
    0101).- 비트 단위 연산자- gate primitive modeling- behavioral modeling[응용 과제]다음의 1-bit full adder 회로를 gate ... 여기서 LSB x는 unknown bit이다. ‘01x’로 표현된다.- 4’h4 : hexadecimal(16진수) 4가 4bit로 표현된다. ... [응용과제] Gate primitive 방식을 통한 1-bit full adder 설계위 테스트 파일은 for문을 통해 입력값에 주기적인 변화를 줬다. integer k가 10ns마다
    리포트 | 19페이지 | 1,500원 | 등록일 2021.09.10
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서8
    이 때 나는 결과보고서에 4-bit adder의 오버플로우를 방지하기 위해 4 같다. ... 즉 마지막 LED에서 Shift하면 마지막 비트의 신호가 사라지는 것 이 아니라, 오버플로우 현상이 일어나게 되어 맨 왼쪽 LED가 켜지게 된다.SHIFT PULSEL0L1L2L3L40LLDDD1DLLDD2DDLLD3DDDLL4LDDDL5LLDDD6DLLDD7DDLLD ... 실험하기 전에 역시 CLR단자를 접지시켜주어 모든 비트를 클리어 해주어야 한다.?
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 2023상반기 DN솔루션즈 최종합격 자소서(+면접후기)
    하드웨어 설계 시 일어날 수 있는 timing 이슈에 대한 문제해결 역량을 길렀습니다.2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다 ... Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다.3) 아날로그 회로실험 A+R, L, C 수동소자 및 MOSFET ... 하루 4시간가량 인터넷 강의와 서적을 통해 학습하고, 50개 이상의 예시 코드를 작성하며 알고리즘을 익혔습니다.마지막으로, 끈기를 가지고 문제를 해결했습니다. 1차적으로 제작한 앱이
    자기소개서 | 4페이지 | 5,000원 | 등록일 2023.07.12 | 수정일 2023.08.26
  • 부경대 디지털 회로 3장 과제
    예제 3-24. 4-비트 리플 캐리 가산기의 계층적 VHDL-- 4-bit Adder: Hierarchical Dataflow/Structural-- (See Figures 3-42 ... : in std_logic;s, c : out std_logic);end half_adder;architecture dataflow_3 of half_adder isbegins 연습문제 ... and 3-43 for logic diagrams)library ieee;use ieee.std_logic_1164.all;entity half_adder isport (x, y
    시험자료 | 13페이지 | 4,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • 충북대학교 전자공학부 4비트 산술논리회로와 시뮬레이션 예비보고서
    ◆ 목 적(1) ALU(Arithmetic Logic Unit)의 기능과 구조를 이해한다.(2) MyCAD의 사용법을 익힌다.(3) MyCAD를 이용하여 4비트 ALU를 설계하고 시뮬레이션한다 ... 먼저 S1과 S0의 값에 따라 MUX에 의해 출력 Y(B,bar{B}, 0, 1)의 값이 결정되고, ADDER에 의해 출력 D(Cin+A+Y)가 결정된다.선택 단자입력출력동작S1S0CinYD ... with borrow1000D = ATransfer A1010D = A + 1Increament A1101D = A - 1Drecrement A1111D = ATransfer A 1비트
    리포트 | 4페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 전가산기에 의한 덧셈의 원리
    가산기의 종류에는 반가산기와 전가산기가 있다.2) 전가산기(Full adder)전가산기란 자리 올림 수를 포함하여 세 비트의 합을 계산하는 회로를 말한다.2. ... 전가산기란 무엇인가1) 가산기(adder)가산기란, 두 개 이상의 입력을 통해 이들의 합을 출력하는 조합 논리회로를 뜻한다. ... c가 0이면 ‘자리 올림이 없다’는 것을 의미한다. 1+1, 1+0, 0+1, 0+0과 같이 한 자리의 숫자끼리 더한 경우에는 뒷자리로부터의 자리 올림이 없고, 따라서 c가 0이다.4)
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.26
  • 컴퓨터구조 CPU설계_Quartus 설계_2024
    들어갈 수 있으며 AC 레지스터로 들어가기 전에 ADDER AND LOGIC을 거쳐 들어가게 된다.DR 제어를 위해 사용한 명령어 제어식을 찾아 정리하면 다음과 같다.DR_LD : ... 그 위 3 bit가 3to8 Decoder에서 D 신호가 되어 나온다. ( D0~D7 )가장 상위 bit는 주소 모드를 나타내는 I bit가 나온다.명령어를 수행할 타이밍은 4-bit ... IR, Sequence Counter, TR과 E, R FF, OUTR 순서이다.# Memory unit ( SRAM 62256 )사용한 메모리는 SRAM 62256으로 총 16비트
    리포트 | 17페이지 | 3,000원 | 등록일 2024.06.01
  • 23년 상반기 한화파워시스템-전기제어 합격자소서
    (How(요가링 시범) Result(참여율 높임))2) 디지털 회로실험 A0설계도에 따라 Verilog를 이용해 4비트 계산기를 설계하고 검증했습니다. ... Full adder, Register, ALU 등의 단위블록을 조합하는 과정에서 데이터 흐름에 대한 이해를 높였습니다.3) 아날로그 회로실험 A+R, L, C 수동소자 및 MOSFET ... 이에 한화파워시스템의 전기제어 직무에서 제가 가진 역량을 발휘할 수 있을 것이라 판단해 지원했습니다.저는 학부 2,3,4학년 간 브레드보드, PSPICE, ModelSIM, Quartus
    자기소개서 | 4페이지 | 3,000원 | 등록일 2023.07.12
  • 전공영어 레포트
    Flip-Flop 플립플롭A flip-flop is a binary cell capable of storing one bits of information.플립플롭은 1비트의 정보를 ... phase with an arbitrary input signal. ( PLL-Phase Locked Loop )임의의 입력 신호에 대해 위상에 있어서 발진을 잡아주는 전기 회로4) ... 저장할 수 있는 2진 셀이다.It was two outputs, one for the complement value of the bit stored in it.2개의 출력 단을 가지며
    리포트 | 36페이지 | 3,000원 | 등록일 2021.05.16
  • 기초 Risc v 설계 코드와 검증 코드( RiscV 기계어 코드 파일 만는는 타스크 함수 포함)
    _o,adder2_o;wire [31:0] pc_o,pc_out;wire [31:0] instr;wire [32:0] imme_o;wire [4:0] rindex1,rindex2,windex ... 동작(ADD,SUB,SW,LW,BEQ,SET)을 확인하기 위한 RTL 논리 회로 설계의 소스 코드와 이의 인스트럭션 동작확인을 위한 테스트벤치입니다.설계를 의하여 Risc V 32bit ... ;wire [4:0] raddr1,raddr2,waddr;wire [31:0] wdata,rdata1,rdata2;wire [1:0] LSctrl;wire RegWrite;wire
    리포트 | 49페이지 | 10,000원 | 등록일 2021.11.05
  • [A+]중앙대 아날로그및디지털회로설계 실습 예비보고서9 4bitadder
    NAND-NAND) 또는 ORAND(NOR-NOR)로직 회로를 설계한다.D XOR gate를 이용하여 보다 간소화된 다단계 조합 논리 회로를 설계한다.E 설계한 회로중 하나를 선택하여 2Bit ... 공리 3 : OR은 두 입력중 하나가 1이면 출력이 1이 되는 논리함수이다.4. 공리 4 : 1의 보수는 0이고 0의 보수는 1이다.다) 부울 대수의 기본 정리1. ... 보수법칙/멱등법칙이 성립한다 : A+A=A/A+A’=1.4.
    리포트 | 10페이지 | 1,000원 | 등록일 2022.09.08
  • 9주차 예비보고서 - 디지털 시스템 설계 및 실험
    입력에 따라 4bit의 연산 결과를 출력하는 회로를 구현한다.3. ... 각각의 Register는 4bit의 값을 저장하도록 구현한다.- Clock, Destination Address, Destination Data, Write, A Address, B ... 모듈 구현- Function Unit은 Arithmetic Circuit, Logic Circuit으로 구성된다.- A Data, B Data, {Cin, S2, S1, S0}의 4bit
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 서강대학교 21년도 디지털논리회로실험 프로젝트 3단계 보고서 (A+자료)
    우선 아래와 같이 Karnaugh map을 통해 3-bit짜리 신호를 8-bit으로 변환하였다.D(7) = D(6) = D(5) = D(4) = D(3) = D(2) = result ... 그림(a)에서 보이듯이, 16개의 key들은 0000에서 1111까지의 고유의 번호 O(3:0)을 가지게 되고, 그것이 4-bit register의 출력으로 나오게 된다. start와 ... 모듈과 flash_twice 모듈을 만들어 기능을 분리했다.up_rolling 모듈의 입-출력그림(a),(b)up_rolling 모듈부터 살펴보자. up-scrolling 기능은 adder
    리포트 | 26페이지 | 3,000원 | 등록일 2022.09.18
  • 조도계로 빛의 세기를 7-segment로 크기 표현
    7-segment 를 통해 빛의 상대적 세기를 출력 조도계 3 조 프로젝트 선정 이유 및 목표 빛의 세기를 실제 우리가 알 수 있는 수치로 시각화 하여 표현하는 방법을 생각하던 중 CdS ( 황화카드뮴 ) 광센서와 Analog-digital 변환소자 , 1 학기 디지털..
    리포트 | 14페이지 | 3,000원 | 등록일 2020.05.06
  • ALU 8bit 설계 베릴로그
    덧셈, 뺄셈, INC연산을 할 땐 오버플로우를 검출 해야하므로 저번 실험에 사용했던 8bit adder/subtractor 실험에 사용했던 코드를 다시 사용하도록함. ... 디지털시스템설계 #4 Report2018. 5. 17 제출mode값에 따라서 다양한 행위를 함. ... 이제 full adder모듈을 순서에 의한 할당을 할 것이므로module fa ( A ,B ,Cin ,Cout ,S ) 변수들의 순서를 잘 기억해둠.adder - subtractor
    리포트 | 36페이지 | 2,500원 | 등록일 2021.04.09
  • 2019. 2 CMOS소자공학 LAYOUT설계
    Half Adder의 구성Xor gate와 And gate 하나씩 사용되며 동시에 입력하고, 출력은 Carry가 발생할 때(둘 다 2인 경우, and gate 사용) 다음 비트인 ‘ ... Half Adder란?Half Adder는 ‘반 가산기’ 이며, 디지털 회로의 핵심이라고 할 수 있는 ‘Adder’의 일부분이다.나. ... 설계과정- Half Adder가 어떤 회로로 구성되어있는지 먼저 파악한다.- 그 후, Half Adder에 있는 gate를 분석한다.
    리포트 | 7페이지 | 8,000원 | 등록일 2021.01.26
  • 2020년 2학기 방송통신대학교 방통대 컴퓨터과학개론 기말과제
    최상위 비트 사용 시 양수라면 0, 음수라면 1을 저장하며, 4비트를 기준으로 와 같이 4비트 내에서 표현할 수 있는 가장 큰 수는 +7이며 가장 작은 수는 으로 -7이다.부호화-크기 ... 이 때, 부호를 나타내는 최상위 비트는 MSB(Most Significant Bit)라고 부른다. ... 사용 예시로는 Adder, Subtracter, Compapator, 디코더, 인코더, 멀티플렉서 등이 있다.조합회로와 상반되는 특성을 지닌 순차회로는 클럭 사이클에 의존하여 과거의
    방송통신대 | 6페이지 | 5,000원 | 등록일 2021.04.24
  • 서울시립대 전전설2 Lab-03 예비리포트 (2020 최신)
    0101).- 비트 단위 연산자- gate primitive modeling- behavioral modeling[응용 과제]다음의 1-bit full adder 회로를 gate ... 여기서 LSB x는 unknown bit이다. ‘01x’로 표현된다.- 4’h4 : hexadecimal(16진수) 4가 4bit로 표현된다. ... : binary number 1001이 4bit로 표현된다는 뜻이다.
    리포트 | 13페이지 | 1,500원 | 등록일 2021.09.10
  • 인하대 VLSI 설계 Microprocessor 프로젝트 결과보고서
    Slave SR Latch는 clk가 상승할 때 Master L.■ ALU Layout, Netlist, Simulation 결과 및 분석Full adder layout 4개를 이어 ... Add 연산 시 Overflow값은 버리고 carry를 고려한 4bit data가 연산 결과로 나오게 된다.• 5.75~6.25u : 1100(=SRC1)+0000(=SRC2) = ... Ripple carry adder를 만들어 준다.
    리포트 | 52페이지 | 3,000원 | 등록일 2023.03.15 | 수정일 2023.05.10
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대