• 통큰쿠폰이벤트-통합
  • 통합검색(354)
  • 리포트(333)
  • 자기소개서(13)
  • 시험자료(5)
  • 서식(1)
  • 방송통신대(1)
  • ppt테마(1)

"7세그먼트 보고서" 검색결과 201-220 / 354건

  • (예) 13.디멀티플렉서를 이용한 조합 논리
    예를 들어, 진리표 첫 번째 행에 있는 상태 00은 주도로에는 녹색불이, 부도로에는적색불이 켜진다.① 실험 보고서의 그림에 미완성의 회로도가 있다. 74LS139A는 상태 디코더 이고 ... 라인으로부터 2진 정보르 받아 각 입력 조합에 대해 고유한 출력을 발생시키킨다.이미 디코더 기능을 수행하는 7447AIC에 대해서는 잘 알고 있을 것이다.이 IC는 4비트 입력 2진수를 7세그먼트를 ... 입력 변수는 디코드의 SELECT라인으로SelectC B AD0D1D2D3D4D5D6D7연결된다.입력(멀티플렉서)출력Select입력데이터입력A4B4∑4X*************1101000101011011110대부분의
    리포트 | 6페이지 | 1,000원 | 등록일 2015.12.11
  • Linux Fedora(리눅스 페도라) Minicom 을 이용한 디지털시계 설계
    (양식자유) : 별도 제출 시에 80%반영- 제안서(10점) : 5월 마지막 주 수업시간에 제출- 최종보고서(20점) : 과제 검사 때 제출설계 내용- 디지털 시계 설계1) 카운터 ... 반영2) 창의성(15점) : 독창적인 구현 유무에 따라 점수 반영3) 설명(30점)- 별도의 발표는 없으며, 종강(6월18일)전까지 수업시간에 기말과제 완료시에해당 조교에게 설명4) 보고서 ... 수집자료 검토 및 프로그래밍 확인프로그래밍 자료 수정 및 보완기존 목표 미달성 시,차선책 설계로 전환설계목표 달성 및 평가항목에 부합하도록 수정 및 보완최종 프로그래밍 소스 작성최종 보고서
    리포트 | 12페이지 | 1,500원 | 등록일 2012.10.11
  • 다국적 기업 보고서
    다국적 기업보고서THE BODY SHOPⅠ.회사소개1.브랜드소개바디샵은 1976년에 시작된 자연주의 화장품 회사로, 원주민들이 자연에서 얻은 풀과 열매로 상처를 치료하고 피부를 가꾸는 ... 언제나 가능한 전문 샵을 지향하고 있다.비타민E 스킨케어, 티트리 오일 스킨케어, 바나나 샴푸 등과 같은 폭넓은 베스트셀러들을 제공해오면서 0.4초에 하나씩 전 세계 매장에서 약 7천7백만 ... 그럼에도 불구하고, 이 세그먼트에 대한 제품개발에 있어서의아니타 로딕의 지속성은 바디숍으로 하여금 개인보호제품과 관련된 그들의 욕구를 이행하여만족시킬 수 있게 하였다.Ⅴ.경쟁적 장점1
    리포트 | 9페이지 | 1,000원 | 등록일 2016.06.20
  • 디지털 시계 (회로도,부품리스트,동작원리,발표자료,이미지)
    그러므로 타 없어진 정도를 보고서 시간을 측정할 수 있다. ... 시간을 측정하는 초시계와 타 없어지는 기름의 양을 보고서 시간을 측정하는 램프시계는 900년 알프레드왕 시대부터 이용하였고, 16세기경 에스파냐왕실에서는 일반 시민에게 야간시간을 알리기 ... 십진수를 표시하는 가장 일반적인 출력장치는 7-세그먼트 디스플레어이이다. 7개의 세그먼트들은 그림2에서처럼 a부터 g까지 이름이 정해져 있다.
    리포트 | 56페이지 | 1,000원 | 등록일 2011.11.22
  • 항만물류(항만물류산업) 현황, 항만물류(항만물류산업) EDI시스템, 항만물류(항만물류산업) 물류정보센터, 항만물류(항만물류산업) 원스톱서비스와 정보화, 항만물류(항만물류산업) PTMS운영요원교육훈련 분석
    최종 보고의 반복 전송3. 입항수속에 의한 입항지연4. 적하목록과 화물반출입현황의 중복작업5. 선석회의 참석에 따른 불편6. 선박 입출항 관제기능의 미흡7. ... 최종 보고의 반복 전송ㅇ 입출항보고서를 최초? ... 또한 세그먼트 태그는 세그먼트 코드, 반복 및 중첩 값을 갖는다.해상운송과 관련하여 한국 표준 메시지는 입항예보서(IMPVES), 입출항신고서(ARDDEC), 항만시설사용허가(신청)
    리포트 | 10페이지 | 5,000원 | 등록일 2011.10.11
  • [전기전자기초실험]8장 - 조합 회로 설계 실험 [예비&결과]
    하지만 이번 결과보고서를 통해서 16진수 모두 사용하는 7-세그먼트 제어기를 만들어보겠다. 0에서 9까지의 숫자는 이미 아라비아 숫자로 나타냈으니 10이상의 숫자 즉 6개의 숫자만 ... 그러므로 우리는 7-세그먼트에 A, C, a, b, c, d를 사용할 것이다. ... 이 두 문자를 7-세그먼트로 나타내면 아라비아 숫자와 똑같은 모양을 갖게 된다. B는 8과 같고 D는 0과 같게 될 것이다.
    리포트 | 13페이지 | 1,000원 | 등록일 2010.12.08
  • 수 체계 실험 결과 보고서 (7 segment)
    수 체계 실험 결과 보고서1.실험 목표□ 2진수 또는 BCD(binary coded decimal) 수를 10진수를 변환.□ BCD 수를 디코딩하고 7-세그먼트로 표시해 주는 디지털 ... 그 결과 두 개의 7-세그먼트 중 10의 자리수를 표현하는 7-세그먼트는 점등되지 않으며 1의 자리를 표시하는 7-세그먼트만 점등되어 각 숫자를 디스플레이 합니다.7447 기능 참고 ... 이번 실험에서 기본적인 7-세그먼트 디스플레이에 결선하는 방법을 설명한다.이번 실험에서는 단순화된 디스플레이 장치를 구성해 볼 것이다.7-세그먼트 결선데이터 및 관찰 내용 :표 3-
    리포트 | 4페이지 | 1,500원 | 등록일 2011.01.05
  • 프로젝트 2
    2011-2 Digital Circuit ExperimentsDEII Board를 이용한 생년월일 표시기와 살아온 날 계산하기결과보고서제출일2011. 12. 15프로젝트의 필수 기능들 ... 자기 생년월일 8자리를 DEII 보드의 8개 7-segment를 이용하여 연속적으로 깜빡이도록 함? ... 가장 큰 모듈은 mux기능을 담당하여 4가지 종류의 입력값을 받아 한가지의 출력값만을 세그먼트로 보낼수 있게 한다.프로젝트 코드?
    리포트 | 18페이지 | 4,000원 | 등록일 2012.01.26
  • 7-segment를 이용한 게임만들기 계획서
    – Segment의 구조 (1/2)4 – 11구현사양(1/2)BCD-to-7세그먼트디코더7세그먼트 표시기DCBAabcdefgabcdefg저항330ΩBCD입력(MSB)5 - 117 – ... 제작모든 팀원6게임성능분석 및 개선방안 도출이완상, 한인석7게임 최종 분석 및 실행한인석, 허유현8최종보고서 작성이완상, 허유현9 - 11설계 프로젝트 진행 일정 계획 (2/2)참고문헌Internet ... - 11설계 프로젝트 진행 일정 계획 (1/2)설계 프로젝트 팀 미팅 일정매주 목요일중간 보고서 제출 및 중간 발표 일정8 - 1100000000000000최종 시험 평가 일정6 /
    리포트 | 13페이지 | 1,000원 | 등록일 2010.10.29
  • ATmega128 시계 전압계 설계 소스, 코드
    2010년도 대학교 마이크로프로세서공학프로그램 설계 최종보고서ATmega 128 설계2010년 12월 20일소속:팀원:지도교수:과제요약서작품제목ATmega128을 사용하여 시계 및 ... 표시해 보았다.과제수행팀성명H.P.이메일주역할소스작성 및 실험소스작성 및 실험소스작성 및 실험공학 프로그램의 입문설계 과제의 최종 결과 보고서를 제출합니다.2010년 12월 20일과제수행자1 ... , 0x7f, 0x67, 0x80 };unsigned char N1 = 0, N10 = 0, N100 = 2, N1000 = 1;unsigned char pos = 4;unsigned
    리포트 | 14페이지 | 2,000원 | 등록일 2011.12.09
  • 트래블러스 맵, 공감만세 사회적 기업 사례분석
    여행분야 사회적 기업 사례분석 보고서목 차Ⅰ. 공정여행이란Ⅱ. 기업소개(트래블러스맵, 공감만세)Ⅲ. ... 민주적 의사결정 구조 등의 사회적 성과가 포함되어 있지만, 이것만으로 사회적 기업이 행하고 있는 활동가치를 구체적으로 제시해주기엔 부족하다.②SROI 분석 트래블러스맵 521.4% (7억 ... 이외에도 공정여행 개념이 확산되면서 특별한 신혼여행을 즐기고 싶은 신혼부부가 고객으로 떠오르고 있다.변수고객 세그먼트나이10대 청소년/20대 대학생/30,40대 사회인규모단체(기업,
    리포트 | 29페이지 | 2,500원 | 등록일 2013.12.25
  • 리눅스를 이용한 시계설계. 프로세스설계
    설계 결과 보고서-디지털 시계구현-Contents-설계목표&기준설정-배경이론-소스-결과-목표 : 리눅스의 디바이스들을 이용해 디지털 시계를 구현한다. ... 세그먼트 선택 : 0x14801000-Text LCD :-LED :-각 bit의 값이 1이 되면 해당LED가 ON이 된다-base address : 0x1480_5000#include ... (VMware Workstation)-7 Segmaent : 두개의 제어번지 존재.1. 자리 선택 : 0x14800000 (0일 때 활성화)2.
    리포트 | 12페이지 | 5,000원 | 등록일 2013.03.12
  • 자판기
    “거스름돈 출력하는 자판기 시스템 설계 ” 과제에 대한최종보고서를 첨부와 같이 제출합니다.2011 년 6 월 21 일첨부 : 과제 최종보고서확인 : 담당교수 (인)? ... 결과물다음에는 자판기 이용자가 동전을 투입하면 현재까지 입력된 금액을 7세그먼트 표시기를 이용해 보여주도록 회로를 구성해보앗다. ... (a) 7세그먼트 표시기 디코더 진리표입력1000자리100자리10자리1자리비고s1s0abcdefgabcdefgabcdefgabcdefg00000000000000000*********
    리포트 | 7페이지 | 1,000원 | 등록일 2011.06.28
  • 마이크로프로세서 신호등 텀 프로젝트(한기대)
    본 자료는 보고서에 들어있는 이미지와 이미지의 주석과 프로그램의 주석에 함께 설명되어 있는 것 중 일부만 발췌한 것이며 구매하시면 이미지와 같이 볼 수 있습니다.Iseg7는 추가동작 ... (세그먼트)를 위하여 사용하였고, LCD 파일을 사용한 것을 제외하면 메인(PSH) 파일과 주 함수 파일(SeongHwa)로 구성되어 있습니다. ... 일단 저기에서 제가 직접 만든 것은 PSH.c, SeongHwa.c, SeongHwa.h 이며 iseg7.c도 일부 수정하였습니다.
    리포트 | 29페이지 | 5,000원 | 등록일 2011.07.19
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    설계 결과 보고서BCD TO 7-SEGMENT DECODER 설계Group:Subject:Professor:Major:Student Number:Name:Due Date:목 차1.명제12 ... 회로도 및 ARTWORK(1) 회로도(2) Artwork7. ... 설계과정BCD TO 7-SEGMENT DECODER의 설계 과정은 다음과 같다.(1) BCD TO 7-Segment Decoder의 회로를 구성한다.(2) 구성된 회로를 PADS LOGIC에
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • 논리회로 자판기 제작 최종 보고서
    결과 보고서2차 설계Index설계 목적 논리 회로도 - 최종 회로도. - 수정 및 보안 사항. ... 접지로 흘려보냄.지출 내역부품 명가격부품 명가격74832000케이스800074862000전원단자300074082000저항100074322000LED150074472000스위치10007세그먼트5000기판15000합계 ... 투입된 금액이 7-segment에 표시 표시된다. 3. 투입금액이 제품 가격 이상이면 구매가능표시 LED에 불이 들어온다. 4. 구매 버튼을 눌러 제품을 구매한다.
    리포트 | 10페이지 | 1,500원 | 등록일 2012.02.29
  • [마케팅] 전자조리기구 3C분석
    GfK의 최신 소매 판매 및 기술 보고서(retail andtechnology report)에서 2011년 12월 말에 영국 쿠킹 가전 시장의 총 판매량이 620 million에 달했다고 ... 미국 소형쿠킹 가전은 크게 믹서기처럼 ‘요리 및 음료 준비를 위한 제품’과전기밥솥과 같은 ‘조리를 위한 제품’, ‘커피 메이커’, ‘토스터기’ 등의 세그먼트로 구분됨. ... )- 가스 가열방식- 높은 판매가격- 미려한 디자인129,0004HUJIHORO(다용도 스팀로스터)(일본)(38.1x21.2x13.7cm)- 가스 가열방식- 거치가능 손잡이- 미려한
    리포트 | 10페이지 | 5,000원 | 등록일 2014.12.30
  • 8비트 가산기 디지털회로실험 예비보고서
    디지털회로실험 사전보고서-Lesson 8 8비트 가산기□ 시뮬레이션7-세그먼트 시뮬레이션Verilog HDL 코드시뮬레이션 결과입력값출력값숫자4(D)3(C)2(B)1(A)abcdefg0000 ... 세그먼트 디코더 datasheet지난 실험에서 7-세그먼트 디코더 회로를 쿼터스2 프로그램을 이용해 논리도를 그려 구성했다. ... 시뮬레이션 실험에서는 a~g까지의 출력이 서로다른 7개의 led역할을 한다고 가정하였다. 이때 출력되는 모양에 따라 7-세그먼트에서 나오는 숫자의 모양이 결정된다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • [계측공학]미소전압으로 출력되는 센서의 측정장치 회로설계
    설계보고서과목명프로그램명년도 및 학기학번성명담당교수설계학점(시간)작성일자○ 과제명: 미소전압으로 출력되는 센서의 측정장치 회로설계○ 설계조건 :(1) 설계구성요소 : 목표설정, 분석 ... 또한 이 브리지는 응력, 온도, 압력과 같이 물리적인 양을 측정하기 위해 트랜스듀서와 결합하여 이용된다. ... 세그먼트- LED 8개를 배열하여 놓은 것으로서 기계 장치의 상태 표시나 에러 표시를 하는데 이용.▷ Amplifier (증폭기)- 센서(브리지 출력)의 출력이 상당히 작은 ㎷이기
    리포트 | 6페이지 | 3,000원 | 등록일 2015.05.27
  • Dotmatrix를 활용한 지렁이 게임 프로젝트
    임베디드시스템실험 설계과제임베디드시스템실험 설계과제 결과 보고서, 2012.12.13( Embeded System Project Preliminary Report, 2012. 12. ... 설계 일정 (Schedule)세부내용11.30 ~ 12.1411.30~12.3~12.7~12.8~12.11~12.13~1설계주제 파악 및 연구 적정성 검토2설계제안서 작성 및 역할 ... Life_count가 줄어들면, 세그먼트의 값도 같이 줄어든다.- 사용자가 Dotmatrix 밖을 벗어났을 때, Life_count가 줄어든 모습이다.
    리포트 | 21페이지 | 1,000원 | 등록일 2014.12.04
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대