• 통큰쿠폰이벤트-통합
  • 통합검색(785)
  • 리포트(757)
  • 시험자료(18)
  • 논문(4)
  • 방송통신대(3)
  • 자기소개서(2)
  • 서식(1)

"7-세그먼트 표시" 검색결과 201-220 / 785건

  • 04 논리회로설계실험 예비보고서(인코더,디코더)
    대부분의 7 세그먼트 표시 장치는 발광다이오드(LED)를 사용하여 획을 표시하지만, 음극 방전관, 진공관, LCD, 기계적 표시를 사용하는 경우도 있다.7 세그먼트 표시 장치는 숫자 ... 표시기에 해당 숫자 (0~9)가 표시되도록 7 세그먼트의 입력 단자 a, b, ... ,g 신호를 만들어내는 조합회로이다. ... BCD 입력에 의해서 표시장치를 동작시키기도 한다. 7 segment 표시장치(4) BCD to 7 segment Decoder4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트
    리포트 | 9페이지 | 3,000원 | 등록일 2014.09.27 | 수정일 2021.04.15
  • 디지털회로실험 10장. 표시소자의 디코더 회로
    BCD 입력이 가해진 때의 출력상태를 관측하여 표 10-5의 켜진 램프란에 기입하고 이때의 7세그먼트 LED 해당 숫자를 출력 표시란에 기입하라.실험데이터표 10-3 7세그먼트 LED ... 전까지는 0이 표시되지 않 도록 한다.검토 및 고찰2010112799 이민수 : 이번 실험은 7-세그먼트 LED소자를 이용한 실험을 하였다. 7-세그먼트는 캐소드형과 애노드형이 있는데 ... 및 LED 표시기 FND807의 핀접속도에서 7 세그먼트 LED 표시기 디코더 회로에서 LT 단자에 연결된 스위치를 닫을 때 LED 표시기는 어떻게 나타나는 가를 관찰하라.
    리포트 | 7페이지 | 1,000원 | 등록일 2014.04.07
  • 디코더, 인코더 설계 예비보고서
    계산기 등에서 자주 쓰인다. 7세그먼트 표시 장치가(2) BCD to 7 segment Decoder- 4비트로 구성된 BCD값을 입력으로 받아 7세그먼트 표시기에 사용되는 a, ... 신호를 출력한다.- 인코더는 2n개 중 활성화된 1비트 입력신호를 받아서 그 숫자에 해당하는 n비트 2진 정보를 출력한다.※ 설계 과제 이론(1) 7 segment- 7세그먼트 표시 ... 표시할 수 없다.)- 7세그먼트 표시 장치는 특히 한 획이 직사각형으로 만들어지는 경우가 많은 LED에서 널리 쓰이며, 획의 제한이 없는 LCD에서도 대비가 높고 인식하기 쉽기 때문에
    리포트 | 8페이지 | 1,000원 | 등록일 2014.07.25
  • LED, 7SEG 회로구성 및 LABVIEW 결과레포트
    가장 적당하다.- 7segment표시 장치의 일종으로, 7개의 획으로 숫자나 문자를 나타낼 수 있다. ... 실험 목적LED 순차 점등 회로 및 7 세그먼트 출력 회로를 구성하고 구동 프로그램을 작성, 구동시킴으로써 디지털신호의 출력 원리 및 방법을 이해한다.2.내용● 용어- LED란? ... 점 행렬에 비해 단순하여 전자 회로의 내부적인 수치를 보여 주는 데 주로 사용된다. ● 필요 장비 ● LED 회로도● 7-segment 회로도3.
    리포트 | 3페이지 | 1,000원 | 등록일 2015.11.25
  • 엔코더와 디코더 회로 예비보고서
    여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다.4] BCD 7-세그먼트 디코더오른쪽 그림( ... 그림에서 알 수 있듯이 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 배열시키고 각 LED에 불을 켜거나 끔으로서 10진 숫자를 표시하도록 ... 물론 이 인코더는 4개의 입력중 어느 한 입력으로만 1이 들어오는 경우를 제외한 나머지 경우는 발생하지 않는다고 가정하여 설계된 것이다.3] 7-세그먼트 표시기0에서 9까지의 숫자를
    리포트 | 6페이지 | 1,000원 | 등록일 2014.06.03
  • 디지털시계 만들기 회로도
    에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... -7세그먼트 디코더(TTL 7447)를 이용하여 값을 쉽게 표시할 수 있다. ... 또한 12진 카운터의 값이 10 또는 11일 경우 하나의 TTL 7447 디코더와 7세그먼트 표시기로 값을 표시하면 하나의 자리로 표시되기 때문에 알아보기 힘들다.
    리포트 | 18페이지 | 2,000원 | 등록일 2015.01.22
  • 디지털실험 설계1 예비 7447소자의 등가회로 설계
    또한 BCD-7세그먼트 디코더는 BCD숫자에 대해 4개의 입력과 선분을 선택하는데 사용되는 7개의 출력을 가지고 있으며, 디코더의 7개 출력(a~g)은 아래의 표와 같고 이는 7-세그먼트의 ... SN7447(BCD to 7-Segment 디코더)BCD-7세그먼트 디코더(BCD to 7-segmemt diode)는 BCD에서 십진숫자를 받아들이고 십진 숫자를 나타내는 선분을 ... 즉 BCD-7세그먼트 디코더는 7세그먼트에 숫자를 표시해주기 위한 전용 소자인 것이다.입력(BCD)출력 (Display)A3A2A1A0abcdefg*************0101100000010110110100111111001010001100110101101101101101011111011111100101000111111110011111011a
    리포트 | 7페이지 | 1,000원 | 등록일 2014.09.30
  • 센서시스템 - 로터리인코터
    이 채널은 단일 세그먼트 슬롯을 포함할 수 있으며, 장치의 . ... 이 엔코더의 분해능은 6으로 빨간색으로 표시한 부분을 통해 엔코더가 회전한 위치를 알 수 있다. ... 센서 및 시스템 레포트- 로터리 인코더에 대해 -담당교수학과학번이름제출 날짜목차Ⅰ. 로터리 인코더 원리A.
    리포트 | 12페이지 | 3,500원 | 등록일 2019.05.10
  • 실험 18 다이오드회로와 발광다이오드 회로
    목적(1) 다이오드의 순방향 및 역방향 바이어스에 의한 다이오드의 전압-전류 특성을 이해한다.(2) 다이오드 정류 회로의 동작을 이해한다.(3) 발광 다이오드의 특성을 이해하고 7세그먼트 ... 사용 계기 및 부품직류 전원 장치 (3.5V)오실로스코프 (1KHz)DVM (1개)Si 다이오드 (1개)저항 (1KΩ)8세그먼트 표시 소자 (TIL312, 1개)4. ... 표시 소자의 동작을 이해한다.2.
    리포트 | 7페이지 | 2,000원 | 등록일 2015.01.25
  • 영상처리,무인주차시스템 졸업캡스톤
    각각의 공간에 적외선센서 설치(총 6개)적외선 센서 감지주기는 주차된 차량이 나갈 때 시간이 걸리므로 빠를 필요는 없음현재 주차가능 자리 수를 입구에 표시하기 위한 7-세그먼트주차장 ... 컴팩트하고 부착하기 용이한 장점으로 로봇의 관절이나 조향장치에 많이 사용[4] 7-세그먼트 (5V)[5] 30만화소의 USB인터페이스 WebCAM[6]듀얼코어 노트북4.2. ... 타이머/카운터- 2개의 16비트 타이머/카운터- 리얼 타임 카운터- 2개의 8비트 PWM 채널- Serial USART- Watchdog- 4.5~5.5V Atmega128 구동-
    리포트 | 5페이지 | 2,500원 | 등록일 2016.10.12 | 수정일 2019.03.19
  • led와 7-세그먼트(결과) 인코더/디코더 코드 변화기, 멀티플렉서와 디멀티프렉서 (예비)
    전원을 연결하면 n형 반도체 측에서 전자와 정공(홀)을 만나 소멸하고, 그러면 빛에너지가 발생하는데 이 빛이 밖으로 나온다.2) 7-Segment 표시7-세그먼트 표시기는 10진수 ... Binary Code Decimal) 7-세그먼트 디코더/드라이버는 4비트 BCD 입력을 받은 후 적절한 세그먼트를 통해 전류를 흘려보냄으로 써 해당 10진수를 표시한다.- 실험 과정1 ... 십진수를 7-세그먼트 LED로 표시하기 위한 방법을 배운다.- 이론1) LED (Light Emitting Diode : 발광 다이오드)p형 반도체와 n형 반도체가 있다.
    리포트 | 12페이지 | 1,000원 | 등록일 2014.04.20
  • 디지털실험 결과보고서 실험 4. 엔코더와 디코더 회로
    (책에는 f-a이런식으로 이어져 있다.) 7세그먼트의 고장을 방지하기 위한 저항 연결이 필요하다. 7세그먼트는 위의 LED부터 시계 방향으로 차례대로 a~f이고 가운데 한줄이 g이다.그 ... 실험 1번에서 2개의 입력을 가지는 디코더를 설계해서 디코더 동작 특성에 대해 알아보았고, 실험 2번에서는 7447소자를 이용하여 7세그먼트에 출력이 표시되는 것을 관찰했다. 7세그먼트 ... 입력 ABCD를 2진수로 생각하고 그에 맞는 수 0부터 9까지(0000~1001)를 넣어주면 7세그먼트에 출력이 나온다.이번 실험의 인코더와 디코더의 기능을 익히고 7세그먼트 숫자표시기의
    리포트 | 6페이지 | 1,000원 | 등록일 2014.09.30
  • 8051 디지털 알람 시계 프로젝트 보고서
    값이 0일 경우만 F를 넣어줌으로써 세그먼트를 끄게 만들었고 값이 있는 경우그대로 출력한다.3-5. ... 일명 스톱워치라고 부른다. start스위치를 누르면 timer가 시작되고 10ms, 1초, 1분 단위로 총 6개의 FND에 표시 된다.3-8. ... Port 3.7 : Minus1) 포트 0 (P0.0~P0.7)8비트 오픈 드레인(open drain) 양방향성 입·출력 단자① 외부에 메모리를 인터페이스하지 않았을 때에는 범용 입
    리포트 | 28페이지 | 3,500원 | 등록일 2015.11.09 | 수정일 2015.12.14
  • 18장 다이오드 회로와 발광 다이오드 회로 예비레포트 - 기초전자전기실험
    18.2 반파 정류 회로전 압 파 형VAB = ViV(p-p)=XVOV(peak)=Vdc[V]=[실험 3]표 18.5 7세그먼트 실험표시 숫자접지 핀0123456789LDPRDP※ ... 뒷면에 검토 및 보고 사항을 작성할 것.7-segmentFeaturesElectro-Optical Characteristics : (Ta=25℃)SYMBOLPARAMETERTEST ... [mA]0.10.20.30.40.50.60.70.80.91.02.03.04.05.0역 방 향 바 이 어 스Vi [V]VAK [V]ID [mA]-1.0-5.0-10.0[실험 2]표
    리포트 | 6페이지 | 1,000원 | 등록일 2017.09.18
  • 제4장 - 광전소자 결과레포트
    세그먼트 표시기는 저희가 3조이기 때문에 21~30 번까지 수를 두 개의 7-세그먼트 표시기로 만들어 보았습니다. ... 표3은 실험에서 사용할 7-세그먼트 표시기의 핀 배열이다.2. 3, 13번 핀은 접지이다. ... .* 7-세그먼트(seven-segment) 지시기로 숫자를 표시한다.* 광결합기를 통하여 신호를 전달한다.◈ 실험과정실험 장비 및 재료전원공급장치 2대 : 15V용 1대, 다른 하나는
    리포트 | 7페이지 | 2,000원 | 등록일 2013.12.23
  • <<AVR 스탑워치 만들기>>AVR스탑워치,초시계,디지털초시계,atmega128,회로도,소스코드,동작원리,스톱워치,타이머카운터,세그먼트,segment,타이머
    AVR의 Timer/Counter 기능을 이용하고 7-Segmnet를 이용하여 숫자를 출력하여 스탑워치를 구현한다.Ⅱ. ... - 원리 및 동작해석 · 1/100 Sec 단위 카운팅 본 스탑워치는 1/100 Sec 단위로 카운팅되면서 시간이 표시된다. ... 1/100 Sec 단위로 표시되는 것을 확인 할 수 있다.
    리포트 | 11페이지 | 4,000원 | 등록일 2015.10.25 | 수정일 2017.04.20
  • Decoder, encoder와 multuplexer, demultiplexer 결과 report
    진리표의 모습을 확인하기 위하여 출력에 LED를 설치하고, 전원을 주어 측정하였다. 7세그먼트 표시기는 막대모양의 LED(Light Emitted Diode) 7개를 8자 모양으로 ... 세븐세그먼트에서의 오차는 텀 프로젝트에서 쓰일 것이라 좀 더 관심 있게 실험을 하였는데, 저항을 7개를 연결하였는데, 서로 접지가 되다보니 오차가 발생하여 제대로 표시가 되지 않았었다 ... 실험에서 입력에 대한 세그먼트 각각의 LED의 켜짐(출력)을 보고서 표시되는 값을 볼 수 있었다. 7447은 4비트 BCD 코드를 바꾸어 Seven Segment를 구동하기 위한 드라이버이다.DCBALTRBIBI
    리포트 | 9페이지 | 2,000원 | 등록일 2015.11.01
  • VHDL을 이용한 디지털 시계 설계
    Introduction 에서는 이미 시계는 일상적으로 사용하는 것이므로 검증에 이용할 7-segment에 대해 알아보겠다. 7-segment Design 1) what your circuit ... introduction 이번 실습은 VHDL을 이용한 디지털 시계 설계로 분주회로를 설계하는 방법을 학습한 후, Training Kit를 통해 7-segment와 LCD로 검증하였다 ... 2개(DIGIT 1, 2) ● 분을 나타내는 세그먼트 2개(DIGIT 3, 4) ● 초를 나타내는 세그먼트 2개(DIGIT 5, 6) ● 비동기 reset ◆ 리셋시 12:58:20으로
    리포트 | 20페이지 | 3,000원 | 등록일 2014.11.04 | 수정일 2022.11.04
  • [e-비즈니스 공통] 1. 인터넷 주소 체계 중에서 도메인 네임(Domain Name)은, 통상 네 묶음의 문자열(String)을 점(dot 혹은 period)으로 구분해서 표기하는 방법을 주로 사용한다. “서버 명칭”.“관리기관의 이름”.“관리기관의 성격”.“국가명”의 순서로 영문 약자를 이용해서 기술(記述; description)하는 방식을 따른다.
    각 컴퓨터의 이름은 마침표에 의해 구분되고 알파벳과 숫자로 구성된 세그먼트의 문자열로 구성되어 있다. ... IP 주소에서 최상위 비트를 항상 0으로 설하고 그 이하 7비트까지 즉 총 1옥텟을 Network ID로 사용한다. ... 이 주소는 내부에서 32비트(4byte)로 기억되지만 표기할 때에는 4개의 10진수를 점(.)으로 구분하여 표시한다.
    방송통신대 | 7페이지 | 4,500원 | 등록일 2019.03.24
  • 초음파 센서 led 및 7세그먼트를 이용한 주차 보조 시스템
    * 표시 제어- LED를 이용한 색상 및 숫자를 표시- 7세그먼트(세그먼트x4, 빨강, Cathode타입, 28mm x 12mm)를 이용한 거리 표시* 통신 제어- mega128과 ... 이용하여 방향 제어* 표시 제어- LED를 이용한 색상 및 숫자를 표시- 7세그먼트(세그먼트x4, 빨강, Cathode타입, 28mm x 12mm)를 이용한 거리 표시* 통신 제어 ... 또 7세그먼트에도 표시한다.6.
    리포트 | 16페이지 | 20,000원 | 등록일 2013.07.30
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:27 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대