• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,846)
  • 리포트(5,659)
  • 시험자료(112)
  • 자기소개서(32)
  • 논문(18)
  • 방송통신대(16)
  • 서식(5)
  • 이력서(2)
  • ppt테마(2)

"7-segment" 검색결과 201-220 / 5,846건

  • 광운대학교 전기공학실험 실험8. 숫자표시기와 응용 결과레포트 [참고용]
    디코더/구동기(7-segment decoder/driver): BCD코드가 주어지면 이것으로 숫자표시기(7-segment display)의 LED들 중 적절한 것을 켜주는 IC를 ... 실험 개요7-세그먼트 표시기(7-segment display)라 불리는 숫자표시기의 구성원리를 이해하고 이를 구동하는 방법을 실습한다. ... 이론 조사3-1. 7-세그먼트 표시기(7-segment display): 숫자표시기라고 하며 일곱 개의 발광다이오드(LED: Light-Emitting Diode)로 이루어진 일곱
    리포트 | 9페이지 | 1,500원 | 등록일 2024.01.02
  • 아날로그 및 디지털회로 설계 실습 stopwatch 설계_결과보고서
    또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다.회로도(C) 전원을 인가하고 7-segment LED에 표시되는 숫자가 ... BCD카운터 출력 4bit을 BCD to 7-segment 사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 그럼 74LS192의 Q0에서 Q3 출력이 74LS47의 입력에 그대로 인가된다. 74LS47의 출력이 7-segment로 연결되어 출력이 나타난다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.10.30
  • 2023 하반기 KDB산업은행 자기소개서
    대학생 3학년 때에는 더욱 심화한 회로를 배우면서 실험 프로젝트는 7-segment를 활용한 주제로 팀 프로젝트를 진행하였는데 이때 저는 온습도를 측정하여 7-segment 소자에 ... [문항1-1] (400자 이내) 산업은행에 지원한 동기를 본인의 고유한 이야기로 자유롭게 서술하시오. ... [문항1-2] (600자 이내) 산업은행에 입행 후 어떤 분야에서 어떤 전문가로 성장하고 싶은지 서술하시오.저는 반도체와 에너지에 대한 관심으로 대학교 물리 전자 과목에서 태양광 발전에
    자기소개서 | 4페이지 | 3,000원 | 등록일 2024.04.28
  • 2023 하반기 한국공항공사 자기소개서
    대학생 3학년 때에는 더욱 심화한 회로를 배우면서 실험 프로젝트는 7-segment를 활용한 주제로 팀 프로젝트를 진행하였는데 이때 저는 온습도를 측정하여 7-segment 소자에
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.04.28
  • 아날로그 및 디지털회로설계실습 12 Stopwatch 설계 예비 리포트
    만들었고 그 결과를 7segment에 연결했다.12-4-274HC192 2개를 연결하여 100진 카운터를 만들었고 각각의 자리수를 7segment와 연결했다.12-4-374HC192 ... 이 결과를 7segment에 연결하여 stopwatch를 만들었다. 이후에 스위치를 통해 stop/restart, reset기능을 추가했다 ... vpulse를 CLR 10진 카운터와 100진 카운터를 만들었고 그 후에 10진 카운터 3개를 연결하고 가운데의 카운터를 6진으로 만들어 시계처럼 동작하는 회로를 만들었고 이 결과를 7segment
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.02
  • [마이크로컨트롤러]7 Segment LED 제어하기
    단 , 디스플레이 되는 시간간격은 0.5 초로 한다 . [ 프로그램 예제 ] 7 segment LED Array Display 7 #include avr / io.h #include ... 단 , 시간지연은 delay 함수를 이용한다 . [ 프로그램 예제 ] 7 segment LED Array Dynamic Display 8 #include avr / io.h #include ... LED 3 a d g b c f e h a b c d e f g h com common cathode type 7 segment LED 데이터 값 (HEX) h g f e d c b
    리포트 | 8페이지 | 1,000원 | 등록일 2022.10.10
  • 연세대학교 전기전자공학부 19-2학기 네트워크실험 5주차 결과 보고서
    7 8 9 10 11 12 13 14 6 15 16 17 18 19segment number 그래프를 살펴보면 drop된 segment 7(segment number 6)이 receive될 ... 7, 13).한 윈도우에서 segment 3개를 drop시킴(segment 7, 13, 14).TCP sender는 Reno 방식으로도 만들어 보고, Tahoe 방식으로도 만들어 ... list 사용, 특정 segment를 drop시킴.한 윈도우에서 segment 1개를 drop시킴(segment 7).한 윈도우에서 segment 2개를 drop시킴(segment
    리포트 | 14페이지 | 2,000원 | 등록일 2020.08.18
  • 성인간호학 실습_AKI_감염위험성, 영양불균형
    중- 진단의학검사(N.segment, WBC, em CRP, ESR)수치7/47/57/67/7em CRP▲16.65▲19.91▲11.21▲7.17ESR▲61▲67--N.segment ... 있다.진단진단의학검사(N.segment, WBC, em CRP, ESR)수치를 확인한다.염증, 세균감염 시 N.segment, WBC, em CRP, ESR은 증가하는 수치들이다.7 ... -450×10^3/㎕275210213N.segment37-75 %▲84.4↑세균 및 진균 감염lymphocyte12-50 %▼11.7↓선천성 면역부전증후군, 요독증monocyte0-
    리포트 | 18페이지 | 2,000원 | 등록일 2024.04.28 | 수정일 2024.05.02
  • 5주차 예비보고서- 디지털 시스템 설계 및 실험
    BCD-to-7segment 모듈은 각 자릿수마다 하나씩 필요하다.1. BCD-to-7 segment 진리표를 채운다.2. ... 따라서 십의 자리를 위한 BCD to 7segment를 제거해주어야 한다.7-segment 진리표3. 7 segment Controller 구현다음의 그림과 같이 7 세그먼트 컨트롤러를 ... BCD-to-7 segment 구현BCD코드가 생성이 된 것이 확인 되었다면 이제는 BCD 코드를 7-segment 표시를 위한 디코더를 설계할 차례이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    보통 n-bits의 입력으로 2n-bits의 출력 코드를 발생시킨다.일반적인 decoder-7-segment display-7-segment display는 A~G의 7개 LED로 ... 7-segment display의 연결 구조이다. ... 진리표에 맞게끔 7-segment display가 출력되는 모습을 확인할 수 있었다.VHDL을 이용한 구현 (optional)STEP 19:그림 34 – 7-segment display의
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • (A+자료) 디지털실험 텀프로젝트 PvP 두더지잡기 게임 구현 작품
    각 선별로 하나의 핀이 해당되므로 Vcc를 포함한 8개의 핀으로 숫자를 표시할 수 있다.7-segment 진리표7-segment 타입NE555 Timer555 타이머의 기능1) Monostable ... 완성도 있는 작품을 만드는 것이 목적이다.사용 부품 / 관련 이론사용 부품커패시터0.01uF 5개1000uF 5개470uF4개74LS021개7-segment (c)2개저항10k 4개470 ... 맞게 누르게되면 최종 OR Gate에서 출력이 0에서 1로 바뀌어 7-segment를 카운팅해주는 CD4026에 클럭이 한번 들어가게된다.확인 해주는 회로를 보면 NOR Gate와
    리포트 | 20페이지 | 5,000원 | 등록일 2023.01.09 | 수정일 2023.03.07
  • 디지털 논리회로와 광센서
    광센서에 빛을 주는 경우에는 7-segment에서 7~8이 반복되었다. ... 하지만 전압을 조정하여서 MC1480과 OPAMP의 전압의 범위를 비슷하게 해 준다면 7-segment에 표시되는 값은 6~7이 반복되었다. ... 수 6~7조도계에 빛을 비추는 경우 segment에 표시되는 수 7~8결과 분석 및 토의광센서 특성 측정광센서의 빛을 비추는 경우, 빛을 비추지 않는 경우보다 저항값이 줄어들었다.
    리포트 | 8페이지 | 1,000원 | 등록일 2022.03.03
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    추가적으로 타이머의 시간 역시 7-segment로 표시해야 하는데 이 부분은 아쉽게도 시간적인 문제로 구현할 수 없었다.7. 참고문헌[1] https://m.저) ... 스위치가 옮겨지면 2,3번 핀이 모두 1이 입력되어 출력이 0이 나와 7490 내부 F/F은 reset되어 7-segment는 모두 0을 가리킨다.카운터 회로에서 0.1초 단위는 10진 ... 이용하여 7segment에 시/분/초 등을 표시하고 스위치를 이용하여 시간/분을 바꿀 수 있게 하였으며 디지털 스탑워치에서는 카운터와 and gate를 이용하고 스위치를 이용하여
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • Best Deal Gillette Could Get, P&G's Acquisition of Gillette
    activity of a certain segment ex) The cost of renting office space, salaries28 Sum-of-the-parts Valuation ... -SOTP prevents this problem by valuing each segment separately, while taking into account its growth ... segments have much more growth potential than other segments, but when the firm is valued as a whole
    리포트 | 40페이지 | 4,000원 | 등록일 2023.01.27
  • 디지털 시계 회로 제작 보고서
    7-segment 디스플레이 장치의 동작원리그림 5는 74LS47의 결선도, 그림 6은 7-segment를 나타낸다.그림 5. 74LS47의 결선도 그림 6. 7-segment74LS47의 ... 상부의 7-segment와 74LS47로 이루어진 7-segment 디스플레이 장치의 동작원리에 대한 이해가 필요하다.그림 2. 발진회로? ... segment의 output의 알파벳을 같게 연결하면 7-segment의 디스플레이에 0부터 9까지의 10진수가 표시된다(단, 10부터 15까지의 입력에 대해서는 10진수와 관련 없는
    리포트 | 6페이지 | 1,000원 | 등록일 2021.05.27
  • [합격] P&G 자기소개서 영어버전
    And then, to get a real Customer segments, I looked through purchase records. ... in English (TOEIC 915, TOEIC Speaking Lv.7)- Official Microsoft Certification ‘MOS’ (Power Point, Excel ... mind and behavior and I double-majored in ㅇㅇㅇ to do some study focused on human-being.
    자기소개서 | 4페이지 | 7,900원 | 등록일 2024.07.27
  • 디지털 논리 회로 설계 실험 최종프로젝트 레포트 A+ 타이밍게임(회로도있음)
    “CLK” switch를 눌러도 7-segment와 LED들의 상태가 변하지 않는다. ... Nice Timing: LED 3의 불이 들어온 상태에서 “확인” button을 누르면 7-segment의숫자가 하나 증가하고 Stage 2로 넘어간다. ... 숫자가 9인 상태에서 증가하면 0이 된다.>> JK-FF의 아웃풋을 and gate에 각각의 인풋으로 넣어준다. 3일 때 인풋이 모두 1이 되므로 7 segment 숫자가 올라간다.
    리포트 | 11페이지 | 2,000원 | 등록일 2021.07.09
  • 디지털공학 32진 카운터 설계
    이로서 일의자리가 9가 된 후 십의 자리가 1씩 카운트 된다.32진 카운터이므로 7-segment에 31이 출력된 후 리셋 시키기 위해 십의 자리를 나타내는 두 번째 7-segment에 ... 학번이름분반조실험 제목32진 카운터 설계사용 부품7-segment 2개, 저항 330Ω 2개, 7490 2개, 7447 2개, 7408 1개회로도실제회로동작사진동작원리7490 IC는 ... 이 값을 십의 자리 리셋이라 가정한다.이 상태에서 일의자리를 나타내는 첫번째 7490 IC가 카운트 되다가 7-segment에 1이 출력되었을 때 이에 해당하는 0001 값이 십의자리
    리포트 | 3페이지 | 1,000원 | 등록일 2020.12.21 | 수정일 2022.09.22
  • 광운대학교 전기공학실험 M3. 숫자표시기(7-SEGMENT LED) 응용 예비레포트 [참고용]
    : BCD코드가 주어지면 이것으로 숫자표시기(7-segment display)의 LED들 중 적절한 것을 켜주는 IC를 말한다. ... 또한 LED 도통 전압강하는 2V정도이며, 저항 양단의 전압은 5V로 잡았을 때, (옴의법칙)에 따라 적정 저항은 270옴이 된다.3-1-3. 7-segment decoder/driver ... 이 기기는 캐소드 공통 FND와 애노드 공통 FND가 있으며, 숫자를 표시하기 위한 LED의 각각의 부분을 segment라고 하며 a~g의 이름이 정해져있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.01.02
  • 12. Stopwatch 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    테스트생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-segment에 ... 이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다.BCD 카운터로 74HC192를 사용하였기 때문에 count up mode로 동작시키기위해서 CPu단자에는 ... 실습준비물부품Inverter 74HC048개NAND gate 74HC003개NOR gate 74HC023개AND gate 74HC083개OR gate 74HC323개7-Segment3개BCD
    리포트 | 6페이지 | 1,000원 | 등록일 2022.09.06
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:01 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대