• 통큰쿠폰이벤트-통합
  • 통합검색(224)
  • 리포트(213)
  • 논문(7)
  • 방송통신대(2)
  • 시험자료(1)
  • 이력서(1)

"7805C" 검색결과 201-220 / 224건

  • 마이크로컨트롤러 pic 의 기초
    switch를 부착하여 언제든지 리셋을 할 수 있도록 해둔다.이 단자는 저항으로 pull-up하여 5V가 걸리도록 한다(풀업저항은 3k∼20kΩ 정도이다).전원회로는 3단자 레귤레이터 7805를 ... PIC16C84/PIC16F84의 해설3. PIC의 사용법 (하드웨어)4. ... 기호가 없을 때는 +.종 류서 식사용 예10진수D''D"100'16진수H''H'9F' H'9f'8진수O''O'777'2진수B''B'00111001'ASCII''A'''C'A'C'[연산기호의
    리포트 | 32페이지 | 3,000원 | 등록일 2005.05.23
  • [기계]8051을 이용한 인체 탐지 선풍기
    {{{{{{{{{{{{{{개요도 및 작동원리1) 개요도{cpu(80c51)메인부STEP모터버 튼DC모터센 서LED표현 2) 작동 원리일반 가정의 서풍기와 모양은 비슷하다. ... CPU의 양방향 입출력 포트인 1,3을 사용 하여 작품을 제어 하였다.{2) 전원부전원부는 7805를 이용하여 외부에서 인가 되는 전압 12V를 보드에 항 상 5V로 인가 시켜준다.3 ... 그리고 각모드 마다 LED Display가 다르게 나 타난다.3) 소요 부품80c51, 74HC573, AT28C64, 8255, 7404, DC MOTOR, STEP MOTORN555
    리포트 | 9페이지 | 4,000원 | 등록일 2004.06.30
  • [전자공학] 7세그먼트 디지털 시계제작
    전원부와 주파수 입력전원부는 7805를 써서 5V로 입력되게 하였고클럭 주파수는 HA17555 를 써서 저항두개를 이용하여 원하는 주파수를 입력할 수 있게 하였다. ... 그 명령으로 C순간에 Q1과 Q2는 0으로 리셋되고 이순간 AND gate 출력은 다시 0이 되어 MR 리셋값은 d 에서 0으로 떨어진다.이런 과정으로 통해서 각각의 소자는 0~9
    리포트 | 8페이지 | 1,500원 | 등록일 2002.10.16
  • [기계실험]power supply
    긴 쪽을 (+), 짧은 쪽을 (-)로 한다.레귤레이터: 3단자 레귤레이터는 출력보다 높은 입력전압을 제어하여 입력보다 낮은 안정한 전압을 출력하기 위한 것이다이번 실험에서 사용한 7805의 ... 다이오드 브리지: 하나의 다이오드를 거칠 경우 반파정류가 되므로 우측의 회로도와 같이 4개의다이오드를 사용하여 전파정류한다.C. ... 구멍들은 일정한 규칙에 의해 연결이 되어 있는데 'Line A' & 'Line B' 위의 구멍들은 각각 그 Line 위의 구멍들끼리 연결되어 있으며, 1 위치에 있는 구멍들은 Line C'
    리포트 | 5페이지 | 1,000원 | 등록일 2002.10.12
  • [경제학] 식스시그마를 통한 품질최적화 전략
    파악하고, 이 변수들이 편차를 측정하기 위한 시스템의 타당성을 확인하는 단계로 목표치와 현실의 차이를 발생시키는 요인을 발견하는 방법과 실험계획법을 배우고 개선작업을 실시한다.(4) C( ... 시그마0.000.010.020.030.040.050.060.070.080.092.03.04.05.06.0308,50066,8106,2102333.4305,00065,5206,0362243.3301,50064,2605,8682163.1298,10063,0105,7032083.0294,60061,7805,5432002.9291,20060,5705,3861932.7287,70059,3805,2341862.6284,30058,2105,0851792.5281,00057,0504,9401722.4277,60055,9204,7991662.3이때
    리포트 | 12페이지 | 1,500원 | 등록일 2004.11.25
  • 컴퓨터전공과 유경력자를 위한 영문이력서
    eun youngxxx Cabot Mail Center ---- Colorado Avenue, NWCambridge, MA 02138-7521 Washington DC 20011-7805 ... Fluent in C, Pascal, and Basic. ... Responsible for analyzing the data and correlating pet scans to MRIs under the guidance of Drs. W.
    이력서 | 7페이지 | 7,800원 | 등록일 2009.02.04 | 수정일 2015.11.18
  • [디지털 논리회로]Flip Flop을 이용한 Clock 제어회로 설계
    설계1) 기본 설계(1) State Diagram{A(X=0)→(X=1)B→←(Y=0)C↑(X=0)↑↓(Y=1)D(z=0)F(X=1)←E←(Z=1)- X : Debounce Switch ... Z : Mod - 3 CounterI) X = 0 일 때, State A에 대기II) X = 1로 변하면 순차적으로 State가 변하다가 Y-Counter에 의해 B-State와 C-State를 ... 개HD74HC02HITACHIHD74LS14HITACHIHD74HC32HITACHIHD74HC42HITACHI하이택 전자 ( 청계4가 )200원 / 개DM74LS47NATIONALSN74HC73PHILIPSHD74HC90HITACHIRegulator7805t
    리포트 | 14페이지 | 2,000원 | 등록일 2004.03.14
  • [전자회로실험] Voltage Regulator 실험
    3. 시뮬레이션(1) 1 실험 1 시뮬레이션{{2 AC 인가시 시뮬레이션{{(2) 실험 2 시뮬레이션{{(3) 실험 3 시뮬레이션1 5V 출력시{{2 12V 출력시{{4. 실험 결과(3) AC 전원 인가시 전압 파형1 RL = 272Ω 일 때. (V1 은 입력 사인파,..
    리포트 | 11페이지 | 1,000원 | 등록일 2002.04.29
  • [실험보고서] power suply 제작
    이 파형의 최대 전압은 약 8.0v로 측정되었다.c점c지점에서의 실제 파형c지점에서의 예상 파형c점은 16v의 전해 콘덴서와 25v의 세라믹 콘덴서를 통해 전자회로용 전원의 평활회로에서 ... 제작함으로써 전기의 가장 기본적 소자들의 원리와 사용법을 익힌다.4.실험 장비오실로스코프, 테스테, 트랜스, 브레드보드, 다이오드(4개), 전해콘덴서, 세라믹콘덴서(104), 레귤레이터(7805 ... C의 위치를 잘 확인한다. 또한 다이오드의 역시 방향을 주의한다.
    리포트 | 18페이지 | 1,000원 | 등록일 2003.12.21
  • [상하수도]하수도 중요시험자료 및 레포트
    정치하면 쉽게 침전하고 하수 중의 colloid성물질, 용해질 및 부유물이 다함게 침전해서 다갈색의 Sludge가 된다. 이것을 활성오니라 한다. ... 기계409.9545.4614.5682.3754.1합류식256.1242.6218.9218.6218.9분류식153.8302.8395.6463.4535.2굴 포계605.3663.7696.7728.7805.7합류식605.3615.9520.3552.3566.9분류식047.8176.4176.4238.8학
    리포트 | 24페이지 | 1,500원 | 등록일 2004.09.21
  • 2족 로봇의 동적 보행 발표자료
    by : Travis Kim프레임 제작BOARD 제작128모듈 부착 모터부분을 반으로 나눠 배치 두 개의 스위치 부착 3축 센서 부착 7805, 1117로 전원부 제작 MAX232 ... --Kingdomsb@naver.comProduced by : Travis KimUSARTDiagramMotionMCU ATmega 128A/D converterTimerUsartBatteryAM ... 2족 로봇의 동적 보행정보통신 공학과 2001 0949 김상범-- HONAM university --Kingdomsb@naver.comProduced by : Travis Kim지도교수
    논문 | 32페이지 | 3,800원 | 등록일 2012.02.28
  • [기계실험] Power Supply 제작 실험보고서
    [레귤레이터]☞ 3단자 레귤레이터는 출력보다 높은 입력전압을 제어하여 입력보다 낮은 안정한 전압을 출력하기 위한 것이다{[저항 Color table]☞ 이번 실험에서 사용한 7805의 ... 다이오드 브리지☞ 하나의 다이오드를 거칠 경우 반파정류가 되므로 우측의 회로도와 같이 4개의 다이오드를 사용하여 전파정류한다.C. ... 일정한 규칙에 의해 연결이 되 어있는데 'Line A' & 'Line B' 위의 구멍들은 각각 그 Line 위의 구멍들 끼리 연결되어 있으며, 1 위치에 있 는 구멍들은 Line C'
    리포트 | 6페이지 | 1,000원 | 등록일 2001.12.05
  • [중국의 산업] 중국의 철강산업
    열연, 냉연, 아연도강판은 최종강재 기준자료 : 중국야금방(http://www.mmi.gov.cn), 중국해관통계, 2001.3.아세안일본한국대만EUCIS기타합계중후판01****** ... 13,075(81.4)강관류(비중)9,189(6.5)832(14.5)9,360(7.1)661(81.4)스테인리스 열연2601214490스테인리스 냉연1,06217540539합계141,7805,734131,46016,054
    리포트 | 27페이지 | 1,500원 | 등록일 2004.06.16
  • 과일중의 유기산 정량
    } over {레몬 중 시트르산 전체 양} × 100이다.실험에서 레몬즙의 총 무게가 14.33g 이었으므로 위의 식에 대입하면{0.828343 over 14.33 ×100= 5.7805 ... 영어명인 citric acid의 citric도 감귤류를 뜻하는 그리스어인 citrus에서 유래한 것이다. 물에서 결정시키면 1분자의 결정수를 지닌 큰 주상 결정이 생긴다. ... 화학식 C6H8O7. 많은 식물의 씨나 과즙 속에 유리상태의 산으로 함유되어 있다. 구연이란 시트론 citron의 한자명이며, 시트론을유되어 있는 데에서 연유한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2002.10.07
  • 라인트랜서
    사용된 부품:80C196KC, DC 모터 2개, DC 모터 드라이버(L293B), Regulator(7805), 적외선 센서수광부1개, 가변저항(5㏀ 1개), Comparator(LM339 ... 마이크로 컨트롤러 80C196KC1) 소개바이트 RAM,l6[㎑] 동작 및 80C196KB를 보강한 것이다. ... 이는 비주얼 C++에 익숙치 못한 우리조원의 문제였다.
    리포트 | 9페이지 | 1,500원 | 등록일 2002.03.17
  • 적외선 센서의 특징과 이용
    전원 장치전원은 AC220V를 사용하였고 전원부에 UA7805 로 구성된 정전압 조절기를 부착, 전원이 회로에 들어가기 전에 안정적인 전압유지를 가능하게 하였다.정전압 조절기는 갑작스런 ... (C) TTL UP/DOWN COUNTER디지털 회로에서 숫자를 취급할 경우에는 그것을 사람의 눈으로 보아서 확인할 필요가 있다. ... 적외선 센서를 이용한 출입 인원 카운터AbstractRecently has there been increased the importance of management and control
    리포트 | 6페이지 | 1,000원 | 등록일 2001.05.22
  • [마이크로컨트롤러]PIC 개요
    전원회로는 3단자 레귤레이터 7805를 사용하여 5V의 정전압을 만든다. 이 레귤레이터의 전후에 전해콘덴서(47∼100μF)를 붙인다. ... 메모리나 입출력 회로가 모두 1개의 IC에 들어가 있어 편리하다.특히, PIC16C84, PIC16F84는 메모리가 EEPROM이기 때문에 몇 번이라도 프로그램을 즉시 소거하고 간단하게 ... ADDLW] Wreg에 리터럴 데이터를 가산한다.서식 [label] ADDLW k오퍼랜드 k: 리터럴 데이터 (00∼256)실행내용 (Wreg) + k → (Wreg)영향 플래그 C,
    리포트 | 35페이지 | 3,000원 | 등록일 2002.04.24
  • [메카트로닉스] 기계실험(1)
    사진의 우측에 있는 IC는 7805라는 것으로, 출력은 +5V의 전압에서 전류는 500mA∼1A를 얻을 수 있다(방열기의 부착 방법에 따라 달라진다). ... 이것에 의하지만, 이 경우 끊임없이 회로 내의 두 점 사이에 전위차를 주어 전류를 흐르 게 하는 직접적인 원인이 되는 작용을 기전력이라고 한다.실용단위는 볼트(V)이며, 1쿨롱(C) ... 전류의 세기 는 도선(導線)의 임의의 단면적을 1 s 동안 1 C(쿨롬)의 정전하(靜電荷)가 통과할 때의 값을 단위로 하여 1 A(암페어)라 하며, 정전하의 이동방향을 전류의 양(陽
    리포트 | 18페이지 | 1,000원 | 등록일 2002.11.15
  • 경남경제의 성장잠재력
    참고문헌 ※① 고현욱·김영표, "경남지역 경제의 특성과 전망", 경남개발연구원,② 이우태, "수출 경쟁력 강화방안을 중심으로", 경남개발연구원,③ http://www.insightr.co.kr ... 1990년에는 10.9%, 1994년에는 11.8%로 지속적인 증가현상을 보이고 있다..재정규모의 측면에서도 마찬가지의 현상을 발견할 수 있다. 1994년 기준경남의 재정규모인 7805억원은
    리포트 | 9페이지 | 1,000원 | 등록일 2003.05.29
  • [미국학.국제관계,대미관계] 미국의 국가개요 및 우리나라와의 수출입 현황
    산지, 한반도의 약 42배, 남한의 95배)기후 : 남부 플로리다의 아열대, 하와이의 열대로부터 북부 알래스카의 한대에 이르기까지 다양한 기후조건을 가지고 있음수도 : 워싱톤 D.C. ... 년29,47529.224,92222.14,5522000 년37,61127.629,24217.38,3692001 년31,211-17.022,376-23.58,8352002 년32,7805.023,0092.89,7721
    리포트 | 6페이지 | 1,000원 | 등록일 2003.05.17
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대