• 통큰쿠폰이벤트-통합
  • 통합검색(10,004)
  • 리포트(7,819)
  • 방송통신대(1,267)
  • 시험자료(493)
  • 자기소개서(236)
  • 이력서(60)
  • ppt테마(48)
  • 서식(42)
  • 논문(37)
  • 표지/속지(1)
  • 노하우(1)

"LOCK& LOCK" 검색결과 201-220 / 10,004건

  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 5 보고서
    Counter x2000 increases its output by one at each positive edge of the clock signal until 1999 and repeat ... a clock signal. ... resetn,lcdclk,lcd_rs,lcd_rw,lcd_en,lcd_data);input resetn; //a reset signal inputinput lcdclk; //a clock
    리포트 | 14페이지 | 3,000원 | 등록일 2020.08.18
  • 건국대학교 효소학특론 중간고사 대체 과제
    이 기질특이성을 설명하는 가설로서 “Lock and key”와 “Induced fit”모델이 있다. ... Lock and key 모델과 달리 이 이론에서는 기질이 효소의 active site에 딱 맞는 구조가 아니다. ... Lock and key 모델에서 효소와 기질은 자물쇠와 열쇠처럼 딱 맞는 구조를 가지고 있으며, 아미노산 R그룹이 기질이 active site에 결합할 수 있게 기여한다.
    시험자료 | 8페이지 | 3,000원 | 등록일 2024.06.26
  • 2023년 토익스피킹 파트3 만능문장 / 기출(빈출)문제 모범답변 33세트 (IM3-IH목표)
    (생락가능)Q5. about travelingQ5) When is the last time you travelled for vacation and who did you travel ... (생락가능)Q12. about clothing shoppingQ5) When was the last time you purchased a shirt or a blouse and where ... ★★① 사회생활 템[인맥 템]You can meet diverse and new people.Soof station would you most prefer and why?
    시험자료 | 35페이지 | 6,000원 | 등록일 2023.03.02 | 수정일 2023.03.08
  • VSIM 아멜리아 성 Amelia Sung 유도분만 5단계 documentation assignments
    태아 심박수: 120)07:30옥시토신 유도(mU/min) 주입이 중지07:29500 mL의 락티드 링거&아포스의 용액 IV 볼러스를 시작 (EFM: 기준선. ... 락티드 링거는 IV로 125 mL/hr 주입 중이고, 경막 외 마취 10ml/hr중입니다.현재까지의 중재는 10L/mim의 산소를 제공 중이고, 옥시토신 약물 제거했습니다.태아질식
    리포트 | 2페이지 | 1,500원 | 등록일 2020.12.12 | 수정일 2020.12.17
  • vSim(브이심) 3번 사례 Rupture of membranes, Labor assessment 레포트 입니다!
    Admission intrapartum orders have been initiated, initial labs have been drawn, and a saline lock has ... 산부인과 입원 명령이 시작됐고, 초기 검사 결과가 나왔으며, 팔뚝에 N/S lock 된 상태2) 사전퀴즈 내용What range is considered a normal baseline ... boyfriend is present, and she has phoned her mother to inform her of her admission.
    리포트 | 3페이지 | 3,500원 | 등록일 2023.07.12
  • 영문이메일 [감사편지, 기념일 축하, 초대장]
    Oh Kimour new Vice President ofThe Cyber University of Koreaon Monday, September twenty-eighthsix o’clock ... The dinner was great and we were deeply impressed with the friendly atmosphere of the party.The opportunity ... I’m pretty lucky to meet a humble and kind boss like you.You are the greatest Boss I’ve ever met.
    리포트 | 2페이지 | 1,000원 | 등록일 2023.07.12
  • 실험(1)디지털 논리회로 프로젝트 Door-Lock 설계
    전체 회로도완성된 Door-Lock 회로6. ... 잘못되거나 완료되었을 경우에 Button을 누르게 되면 각 7-S1130/0-4010040/0-5010150/0-6011060/0-7011170/0-8100080/0-9100190/1B-AND ... Decoder와 연결되어 있는데 초기상태는 Y0에서 출력이 나오게 되고 Confirm Button을 누르기 전에는 첫 번째 7-Segment와 연결된 7490 Counter가 동작하도록 AND게이트로
    리포트 | 14페이지 | 5,000원 | 등록일 2020.01.03 | 수정일 2020.10.07
  • 디지털공학과제 - FSM STATE ENCODING
    The output Y is HIGH for one clock cycle out of every N. ... In other words, the output divides the frequency of the clock by N. ... The waveform and state transition diagram for a divide-by-3 counter is shown in Figure 3.28.
    리포트 | 2페이지 | 1,000원 | 등록일 2021.02.27
  • 아주대 논리회로실험 실험8 Counter 예비보고서
    Gate에 연결한 후 GND와 전압원을 IC에 연결한다.5) AND Gate 출력단에 다이오드를 연결한 후 clock signal에 따라 LED의 변화를 확인한다.- 실험2(3진 ... signal를 인가한 후 clock signal에 따라 데이터가 shift가 되는 것을 다이오드를 통해 확인한다.5. ... 비동기식 Counter)1) 다음 회로도와 같이 74HC08, 74HC76을 준비하여 Bread Board에 연결한다.2) 오실로스코프를 통해 clock signal을 74HC76
    리포트 | 8페이지 | 1,000원 | 등록일 2021.05.07 | 수정일 2021.07.23
  • chimney sweeper 과제-inocence/experience 버전 비교,요약 정리
    After he woke up, he never minded being suffered from work and trusted what angel's said. ... Through his friend tom’s dream, an angel rescued the locked workers, he had a belief that he can also
    리포트 | 3페이지 | 3,000원 | 등록일 2021.01.31
  • 8가지 Speaking point 예문 간단 정리
    Supporting & Contrasting Information- In addition, it’s very exciting and so easy.- Besides, I don’t ... Complaining Politely - This clock appears to be broken.- It seems to be damaged.- This cell phone is
    리포트 | 1페이지 | 1,000원 | 등록일 2020.04.29
  • 연세대학교 기초디지털실험 4주차 결과레포트 (sequential logic)
    Verilog Code Review with waveformIn D-FF in pic 1, module becomes activate when clock is positive edge ... using some push buttons, switches, and LED. ... Since reset button and clk is linked every D-FF
    리포트 | 16페이지 | 5,000원 | 등록일 2021.08.31 | 수정일 2022.12.15
  • 영작문 실습
    (old)(3) I got up at 6 o’clock. ... He got up at 6 o’clock, too.= He ___got up as early as__________________________________ me. ... (수여동사)(1) Tom showed his heart _to__________ them.(2) Sam gave his book ______to_____ me.(3) Tom and
    리포트 | 3페이지 | 2,500원 | 등록일 2022.04.12
  • 신데렐라(영어버전)
    this magic is until 12 o'clock. You should come back before 12o'clock. You have to!Cinderella: OK. ... She came out when it was 12o'clock.Her glass shoe was taken off, but she came back to her house.next ... looked here and there, and met a prince.prince:Can i have a dance with you?
    리포트 | 3페이지 | 1,000원 | 등록일 2019.10.25
  • 삽입관의 종류, 간호 정리
    Mahurkar catheter & Perm catheter6. 드레싱 및 주의사항1. ... (Pulmonary infarction 예방)⑥ PCWP는 꼭 필요한 경우에만 측정, 측정 후 반드시 공기풍선을 수축(Deballoon)시키고Port locking을 한다.⑦ 폐동맥관 ... 시 외부로 밀려나온 중심정맥관을 안으로 밀어 넣지 않으며, 의사와 상의한다.③ 중심정맥관이 폐색되었을 때는 마지막 주입한 약물의 종류를 확인하고 의사와 상의한다.중심정맥관 잠금(Lock
    리포트 | 8페이지 | 1,500원 | 등록일 2022.12.31 | 수정일 2024.03.11
  • 요골 원위부 골절, 손목 골절, radius Fx. 수술 준비 및 방법, procedure , OR/IF
    (tip&body), 2.8 drill bit, 2.8 drill bit locking guide & cortical guide, 3.5 screw driver(tip&body), ... 1x(환자팔) → 대공&T-C 1x→ 팔상aculoc set: screw box, 2.0 drill bit locking guide, 2.0 drill bit, 2,3mm driver ... depth, freer, homman 小, bendertip) 2.3 & 3.5screw holder 사용 (driver에 연결하여 screw가 locking 되도록 사용)4. procedure1
    리포트 | 6페이지 | 2,000원 | 등록일 2020.03.14 | 수정일 2022.04.15
  • Fracture 및 Plate & Screw의 원리와 이해
    Bone Healing & Stability1. ... Fracture 및 Plate & Screw의 원리와 이해Ⅰ. ... Locking plate의 사용이 필요한 이유- blood supply를 보존시켜 bone healing 을 촉진시킴- 뼈에 강한 고정력을 제공하여 골다공증 환자 골절 치료에 도움이
    리포트 | 7페이지 | 4,500원 | 등록일 2021.09.13
  • term project - ALUs (Arithmetic logic units)를 이용한 다기능 디지털 시계 설계
    And gate 진리표를 통해 555 ouput이 high일 때 stop으로 옮기게 되면 and gate에 1,1이 입력되어 7490의 14번(바 cp) 핀에 1이 입력되면 작동이 ... 브레드보드에 정지/재개를 구현하는 과정에서 7408을 사용하지 않고 구현해 본 결과 결과 값이 자꾸 바뀌는 것을 보고 스위치와clcok을 7408에 넣어 clock값이 high일 때 ... 연결하여 구현해야 했다. 7447과 7 segment를 연결한 디지털 숫자 표시는 1학기 때 계산기 구현 프로젝트 진행 경험 덕분에 크게 어렵지 않았다.디지털 시계의 기능을 위하여 clock
    리포트 | 14페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • 성인간호학 케이스스터디 (간호과정 4개, 과제만점)
    time 15분, bolus 0.5ml/회로 continuous infusion 중임PCA 통해 basal1ml/hr, locking time 15분, bolus 0.5ml/회로 ... 구토2tabmagcorol(R) 250ml(magnesig time 15분, bolus 0.5ml/회로 continuous infusion 중임PCA 통해 basal1ml/hr, locking ... infusion 중임pethidine 투여 후 통증완화됨10/2710/2710/2710/2805:0010:0017:0005:00PCA 적용중.PCA 통해 basal1ml/hr, locking
    리포트 | 24페이지 | 4,000원 | 등록일 2022.06.23
  • Lactobacillus의 산업적 이용
    docId=3497063&cid=58529&categoryId=58529 ... docId=421978&cid=42411&categoryId=42411, 2017.12.05.2. ... 카제이 - Lactobacillus casei락토바실러스 람노서스 -Lactobacillus rhamnosus락토바실러스 플란타륨 -Lactobacillus plantarum락토바실러스
    리포트 | 6페이지 | 2,000원 | 등록일 2020.03.11 | 수정일 2020.11.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:23 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대