• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(423)
  • 리포트(394)
  • 시험자료(17)
  • 논문(8)
  • 방송통신대(4)

바로가기

Mul 독후감 - Mul 관련 독후감 2건 제공

"Mul" 검색결과 201-220 / 423건

  • assembly 언어를 사용한 비만도 계산기
    0MOV DX, bXADD bX, AXJMP input_digit2stop_input2:mov weight, bxjmp CalcCalc:mov ax,height ; 키를 제곱한다 .mul
    리포트 | 1,000원 | 등록일 2012.01.04
  • [디지털시스템실험(Verilog)] PICO Processor - Decoder 결과보고서
    6:4]opcode[3:0]instruction00001,2,3,5add8,9,12,14sub10,1,2,3,4,5,6add10,11,12,13,14,15sub00013,4,5,7mul13,4,5,6,7,800100
    리포트 | 4페이지 | 2,000원 | 등록일 2011.10.05
  • [verilog]Modified Booth Multiplier 설계
    mbm_8x8.v (과제에서 주어진 모듈명 mba8x8 과 다르게 지정하였습니다.) module mbm_8x8(x, y, S, cin, cout );input [7:0] x, y;input cin;output [15:0] S;output cout;wire X_sel..
    리포트 | 13페이지 | 3,000원 | 등록일 2012.04.30 | 수정일 2021.05.07
  • 무한반복 연산
    strcmp(cmd,sub) == 0){printf(sub command %f - %f = %fn, arg1,arg2,arg1 - arg2);}else if (strcmp(cmd,mul ... ) == 0){printf(mul command %f * %f = %fn, arg1,arg2,arg1 * arg2);}else if (strcmp(cmd,div) == 0){printf
    리포트 | 2페이지 | 1,000원 | 등록일 2008.10.28
  • 어셈블리어 스네이크
    (전역변수 참고)mov temp,dl ;dl을 temp에 저장mov eax,2mul longsub eax,2jmp NoArrangeEraser:mov eax,0 ;초기화cmp foodD ... Escapemov eax,47 ;0~46까지 난수 생성call RandomRangeadd eax, 1 ;2~48mov ebx, 2 ;4~96(74이하 별 생성 74이상 별 생성 안함)mul
    리포트 | 8페이지 | 3,000원 | 등록일 2011.06.29
  • 한국음식 영어로 소개
    It has two kinds: one is Mul-angmuyn which buckwheat noodles in chilled broth; the other is Bibim-angmuyn
    리포트 | 2페이지 | 1,500원 | 등록일 2009.10.24
  • ARM Assembly의 내부구조를 이해하고 명령어를 실행하여, 사용방법을 알아본다.
    1. Title ARM Assembly 실습 2주차2. Name3. Abstract ARM Assembly의 내부구조를 이해하고 명령어를 실행하여, 사용방법을 알아본다.4. Experimental Results9) General data proces..
    리포트 | 19페이지 | 1,000원 | 등록일 2011.12.27
  • 언어발달
    전체형태소의 개수를 문장수로 나눔으로써 쉽게 계산 형태소는 의미를 전달하는 최소단위로서 기본단어와 접두사 , 접미사를 포함 단순한 문장의 양적인 깊이가 아니라 문법적 지식의 정교함과 관련 MUL
    리포트 | 19페이지 | 2,000원 | 등록일 2014.06.26
  • lab4 비교와분기
    07H // 보이지 않게 두 번째 숫자 입력 후 B에 저장INT 21HMOV B, ALMOV AL,A // 아스키 코드 처리를 위해 48을 빼준다.SUB AL,48MOV DL,10MUL ... ALMOV AH, 01H // 두 번째 숫자 저장INT 21HMOV B, ALMOV AL,A // A 아스키 코드 처리 후 10을 곱해서 다시 저장SUB AL,48MOV DL,10MUL
    리포트 | 7페이지 | 1,000원 | 등록일 2010.08.29
  • 영어회화 우리나라소개
    Mul kimchi(Water kimchi) is well-known for its cool taste. ... Dongchimi(Watery radish kimchi) is a popular kind of mul kimchi eaten in winter.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.11.25
  • 마노 컴퓨터구조론 8장 정리
    8장 중앙처리장치① 2주소 명령어(범용레지스터구조) : 2주소 필드 방식으로 레지스터를 2개를 사용하는 것으로 사용되는 연산기호는 MOV, ADD, SUB, MUL, DIV가 주로 ... 사용된다.② 1주소 명령어(단일레지스터구조) : 누산기(AC)라 불리는 하나의 레지스터에서만 연산이 가능하며 사용되는 연산기호는 LOAD, STORE, ADD, SUB, MUL, DIV가 ... 주로 사용된다.③ 0주소 명령어(스택구조) : 사용되어지는 주소필드가 없고 메모리에서 호출하거나 저장하기 위해서는 PUSH와 POP명령을 같이 사용해야하며 더불어 ADD, SUB, MUL
    시험자료 | 2페이지 | 1,500원 | 등록일 2008.10.17
  • [생명과학]Plasmid DNA 분리
    평균은 40.45ng/ mul.9. ... (배양→원심분리→column 제거)⑮ nano-drop으로 Plasmid DNA의 농도를 측정한다.(2번 측정)⇒ 결과 : 38.9ng/ mul, 42.0ng/ mul의 Plasmid
    리포트 | 6페이지 | 1,500원 | 등록일 2009.11.25
  • [논문] 대장균을 이용한 우뭇가사리 세포재생효과에 관한 탐구
    [표1]에탄올 측정법을 사용한 우뭇가사리 농축액 플레이트X대장균70mul,액체배지용액70mul대장균70mul, 액체배지용액70mulXE1 70mul,액체배지용액70mulE2 70mul ... 사용한 우뭇가사리 농축액 플레이트X대장균70mul,액체배지용액70mul대장균70mul, 액체배지용액70mulXM1 70mul,액체배지용액2 cell(대장균70, 액체배지용액70) ... ,액체배지용액70mulE3 70mul,액체배지용액70mulE4 70mul,액체배지용액70mulJE1 140mulJE2 140mulJE3 140mulJE4 140mul[표2]메탄올 측정법을
    논문 | 17페이지 | 5,000원 | 등록일 2019.08.18
  • 현대 자동차 인도시장 진출 및 성공 전략
    MUL의 시장 진입 후 PAL, HML 의 시장 점유율은 급격히 하락 하였으며, 이후 외국인 투자가 본격적으로 진행된 1993년 까지 MUL은 독점적 지위를 유지하였다. ... (MUL) 등 의 3사가 시장을 분할하는 과점체제를 형성하게 되었다. ... 그러나 1990년대 말 현대, 대우 등의 외국 승용차 업체들이 소형 경쟁 차종을 생산하면서 1998년 81.4%에 이르던 MUL의 시장 점유율이 2004년 51% 까지 하락하였으며,
    리포트 | 11페이지 | 1,500원 | 등록일 2009.05.09
  • 외국인에게 영어로 전통음식소개
    yeolmu mul kimchi using a recipe. ... Mul naengmyeon is soup based noodles which is served with cold icy broth. ... I’m going to introduce you to 2 kinds of naengmyeon: mul naengmyeon and bibim naengmyeon, both using
    리포트 | 4페이지 | 14,000원 | 등록일 2009.07.21 | 수정일 2022.05.20
  • 내과 사례연구보고서(케이스스터디, 컨퍼런스)
    Blood(-)neg RBC/mul-Negative증가 : 요로계 출혈, 용혈성 질환, 근육손상 등U.
    리포트 | 22페이지 | 1,500원 | 등록일 2014.05.21
  • 어셈블리어실습 (산술&논리연산)
    . after MUL8. before DIV9. after DIV10. before ANL11. after ANL12. before ORL13. after ORL14. before ... Simulation1. set carry2. add with carry (ADDC)3. subtract with carry (SUBB)4. before DA5. after DA6. befor MUL7
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.19
  • 스레드를 이용한 행렬 곱셈연산
    방법수업 시간에 배포한 프린트의 내용을 참조하여 mat_mul 함수를 세 개 만들었다. ... 결과가 더 나중에 출력되기도 하였는데, 이것은 스레드의 특성상 모든 스레드가 다 완료되기 이전에 mul_mat3 의 결과를 출력하는 코드가 수행되었기 때문으로 보여진다. ... 출력의 경우 분량이 많았던 관계로 파일 출력을 하여 텍스트에 결과를 출력하도록 하였다.또한, 결과에 따라 스레드의 실행 순서 차의 문제로 인해 mul_mat3 의 행렬의 값보다 스레드의
    리포트 | 10페이지 | 2,000원 | 등록일 2008.04.20
  • 어셈블리언어 숫자 맞추기 게임 입니다
    -------; BL_TBL; 기준값의 왼쪽값 가져오기;;----------------------------mov cl,MAXCOLxor dx,dxmov al,[MEM_PTR+0]mul ... ,0 ; clmov bx,axmovzx di,[MEM_PTR+5]inc BL_TBL[bx+di];----------------------------mov al,[MEM_PTR+6]mul ... dx,BOTTOM ;밑줄call basicStr_displaypdh,[PRESENT_PTR]add dh,I_ROWpush axmov al,[PRESENT_PTR+1]mov cl,2mul
    리포트 | 1,000원 | 등록일 2008.12.08
  • 큰정수의 곱셈
    BigInteger Plus (BigInteger u, BigInteger v);BigInteger Minus (BigInteger u, BigInteger v);BigInteger Mul ... (BigInteger u, int m);BigInteger Mul2 (BigInteger u, int m);BigInteger MulUsual (BigInteger u, BigInteger ... BigInteger u, BigInteger v); // u + vBigInteger Minus (BigInteger u, BigInteger v); // u - vBigInteger Mul
    리포트 | 9페이지 | 1,000원 | 등록일 2007.11.19
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:11 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대