• 통큰쿠폰이벤트-통합
  • 통합검색(23,632)
  • 리포트(21,123)
  • 시험자료(822)
  • ppt테마(783)
  • 자기소개서(306)
  • 방송통신대(306)
  • 서식(119)
  • 논문(102)
  • 이력서(58)
  • 표지/속지(8)
  • 노하우(5)

"U.I" 검색결과 201-220 / 23,632건

  • 내가 몰랐던 언어 소개하기”라는 주제로 해당 언어의 음운 체계, 어순과 문장 구조
    i / đi ch?i놀다u?ng마시다h?c공부하다 / 배우다베트남어한국어đ?p예쁘다x?u못 생겼다kho어렵다 ... i사람cong vi?c일cay c?i나무đ? v?t물건đ? ?n음식 / 먹을거리đ? u?ng음료 / 마실 것ngh? nghi?p직업다음은 동사이다.베트남어한국어noi말하다g? ... U, UY.- 3개 모음 결합 : IEU/YEU, OAI, OAO, OAY, OEO, UAO, UAY, UOI, ??I, ??
    리포트 | 6페이지 | 2,000원 | 등록일 2024.09.02
  • 전류천징에 의한 자기유도 실험
    ④B=u _{0`} (n)i 여기서 n은 솔레노이드의 단위 길이 당 감긴 코일의 권선수이고,i는 솔레노이드에 흐르는 전류이다. ... 자기력의 방향은 u와 B가 이루는 평면에 수직이고 크기는식 ②F=quB`sin phi 이며,phi 는 u와 B사이의 각이다.전류는 운동하는 전하의 모임이며, 이 전류 I가 길이l인 ... B _{이론치} =u _{0} n`i와 비교해 본다.⑦ 전류천칭부의 전류I 를1A로 고정하고 솔레노이드 전류i에 변화를 주어 위와 같이 실험을 반복한다.⑧ 주의사항1) 실험기구가 매우
    리포트 | 8페이지 | 2,000원 | 등록일 2021.03.11
  • 전자기 진동과 교류
    `=`U _{L} `+`U _{C} ``=`constant``````ㆍㆍㆍiii)##U``=` {1} over {2} L`i ^{2} ``+` {q ^{2}} over {2C} `` ... ```→```````` {di} over {dt} `+` {q} over {LC} `=`0``ㆍㆍㆍii)ㆍ회로의 에너지 보존에 의한 미분방정식 유도- 회로의 에너지를 시간으로 미분U` ... `( omega `t``+` {pi } over {2} )ㆍLC회로의 축전기와 유도기에 저장된 에너지-U _{c} `=` {q ^{2}} over {2C} `=` {1} over {
    리포트 | 9페이지 | 2,500원 | 등록일 2023.03.05
  • 영어음성음운론 레포트 (A+ 과제) - Formant Frequency
    F₁의 수치 또한 [i] 보다는 낮지만 [u], [?] 등과 비교해보았을 때 큰 축에 속한다. ... F₁530F₁의 수치는 high vowel 이었던 [i]와 비슷하다. 따라서 [u] 또한 혀의 높이가 높다는 것을 알 수 있다. 하지만, F₂ ? ... F₁은, [i], [?]와 마찬가지로 1000 이상을 기록하고 있다. 따라서 front vowel이다.4) [u] high backF₁320F₂850F₂ ?
    리포트 | 1페이지 | 1,500원 | 등록일 2022.10.05 | 수정일 2022.10.14
  • C언어정리 일곱번째 (중요-포인터)
    저장한다.double f = 12.3; //임의의 4바이트에 12.3을 저장한다.printf("i의 주소:%u\n", &i); //주소를 표현할 때는 %u를 써준다. unsigned ... &i); //주소를 서버함수에 보낸다.printf("i=%d,&i=%u\n", i, &i);//i의 값을 출력i의 주소를 출력 4번의 출력return 0;}void sub(int*p ... = 10;char c=70;float f = 12.3;printf("i의 주소:%u\n", &i);printf("i의 값:%d\n", i); //%d 주의 하기printf("c의 주소
    리포트 | 22페이지 | 1,500원 | 등록일 2021.01.04
  • 정책 강화학습 개요
    ,`a _{i} ,`s _{i+1} ,`a _{i+1} ,`...,`s _{T} ,`a _{T} )2. ... ,`a _{i} ,`s _{i+1} ,`a _{i+1} ,`...,`s _{T} ,`a _{T} )4. ... _{t} )` RIGHT ]##[0,`T]`:`관심시간`구간##x _{t`} IN R ^{n} `:`환경의`상태변수(연속형)##u _{t`} IN R ^{m} `:`에이전트의`행동(
    리포트 | 4페이지 | 3,000원 | 등록일 2022.04.30
  • 아주대 A+ 자기장
    _{0} I} over {2 PI r}0.0001T0.00005T0.00003T0.000025T0.00002T계산과정1)B _{thoery} `=` {u _{0} I} over {2 ... 결과분석위 실험은B _{thoery} `=` {u _{0} I} over {2 PI r} 식과 실제 측정한 B(T)를 비교해서 각 반지름 마다의 자기장과 오차값을 구하는 문제이다.r ... PI r} ={4 PI *10 ^{-7} T*m/A`*`5A} over {2 PI *0.01m} = 0.0001T2)B _{thoery} `=` {u _{0} I} over {2
    리포트 | 10페이지 | 1,000원 | 등록일 2021.04.08
  • 전자회로실험1 5주차 결보
    _{B}I _{C}V _{CE}I _{B}I _{C}V _{CE}I _{B}I _{C}0V13.78m289.0u-137.8u18.13m707.7u-181.3u19.69m1.13m-196.8u1V117.7m270.4u8.823m92.61m694.5u9.074m81.75m1.119m9.183m2V158.5m265.9u18.42m125.5m690.2u18.75m112.4m1.115m18.88m3V199.9m263.1u28.0m152.0m687.4u28.48m137.0m1.112m28.63m4V356.3m261.4u36.44m176.5m685.3u38.24m159.0m1.11m38.41m5V1.306261.2u36.94m201.0m683.5u47.99m179.8m1.108m48.2m6V2.258261.2u37.42m227.7m682.2u57.72m200.2m1.107m58.0m7V3.211261.2u37.89m262.2m681.0u67.38m220.9m1.106m67.79m8V4.164261.2u38.36m425.6m680.0u75.74m242.8m1.104m77.57m9V5.117261.2u38.83m1.330680.0u76.70m267.4m1.103m87.33m10V6.07261.2u39.31m2.237680.0u77.63m299.8m1.103m97.0m11V7.022261.2u39.78m3.144680.0u78.56m426.6m1.102m105.7m12V7.975261.2u40.25m4.056802m107.1m ... 올리면서V _{CE}의 값과I _{C}와I _{B}의 값을 측정해보고V _{CE} -I _{C} 특성곡선과 트랜스컨덕턴스, 소신호 저항, 얼리효과에 의한 소신호모델의 출력저항을 구해보는 ... 기본적으로 Vcc가 증가함에 따라I _{B}는 변화의 폭은 작지만 감소하고I _{C}는 Vcc가 커짐에 따라 변화의 폭이 커지면서 증가한다.V _{CE}는 거의 Vcc과 비슷하게 증가한다
    리포트 | 6페이지 | 1,000원 | 등록일 2020.07.29
  • 5주 1차시에서 활음과 관련된 음운 현상에 대한 내용을 학습하였습니다. 한국어를 모어로 사용하는 사람들이 사용하는 일상언어에서 활음과 관련된 음운 현상이 자주 일어나는데, 이와 같은 현상의 예들이 어떤 것들이 있는지 유형별로 제시
    ' [이야기] → [야기] (i가 반모음 j로 변하면서 '야기'로 발음)'기억' [기억] → [겨]②w화 (u화)정의: '우' 소리가 반모음 'w'로 변하면서 다른 모음과 결합하여 ... 같이' [가티] → [가치]③w첨가 (u첨가):예시: '어울리다'에서 '우'가 반모음 'w'로 변하여 첨가되는 경우.' ... 이르다' + '-어' → '이르어' [이르어] → [이러]③w탈락 (u탈락):예시: '구름'의 어간 '구'에서 'ㄹ'이 탈락하는 경우.'
    리포트 | 4페이지 | 1,500원 | 등록일 2024.09.11
  • 유체역학 PIV 실험보고서
    불러옴)[X,Y] = meshgrid(x,y);for i = 1:60U(1:60,i) = u(60*i-59:60*i,1);V(1:60,i) = v(60*i-59:60*i,1);endU ... 1)- U(i,j-1))/(2*dy));if abs(W(i,j))>45W(i,j) = 0;endendendsubplot(2,2,1); quiver(X,Y,U,V), axis([0 155 ... dx, dy값을 미소값인 0.00125로 설정)for i = 2:59for j = 2:59W(i,j) = ((V(i+1,j) - V(i-1,j))/(2*dx)) - ((U(i,j+
    리포트 | 6페이지 | 1,000원 | 등록일 2021.01.10
  • 식품 제조업 품목 허가 배합비율 자동 산출 계산기
    122304D8006.54%배합비합계(%)100.00%5E150012.26%* 배합비 합계가 100% 미만일 경우 오류6F12009.81%7G130010.63%8H12009.81%9I5004.09% ... 11K800.65%12L1501.23%13M2001.64%14N1000.82%15O150012.26%16P200016.35%17Q2001.64%18R0.00%19S0.00%20T0.00%21U0.00%
    서식 | 1페이지 | 40,000원 | 등록일 2022.02.13
  • C언어로 쉽게 풀어쓴 자료구조 11장 해설(3판/천인국)
    u, v;for (u = 0; u < g->n; u++) distance[u] = INF; distance[s] = 0;for (i = 0; i < g->n; i++) { u = ... a++) printf("%d ", selected[a]); //포함여부를 반복하여 출력 printf("]\n");}void prim(GraphType* g, int s){int i, ... get_min_vertex(g->n); selected[u] = TRUE; if (distance[u] == INF) return; printf("정점 %d 추가\n", u); print
    리포트 | 10페이지 | 1,500원 | 등록일 2020.02.19 | 수정일 2020.07.17
  • 9주차-실험9 예비 - MOSFET I-V 특성 - 전류 미러를 이용한 Id-Vds 특성
    녹색은 1kOMEGA , 중간 하늘색은300kOMEGA )저항 값I _{D}1k-52.929u2k-52.929u3k-52.929u5.1k-52.929u10k-52.929u20k-52.929u30k ... 진한 하늘색은 1kOMEGA , 중간 하늘색은 300kOMEGA )저항 값I _{D}1k-170.575u2k-170.575u3k-170.575u5.1k-170.575u10k-164.558u20k ... 진한 하늘색은 1kOMEGA , 중간 하늘색은 300kOMEGA )저항 값I _{D}1k4.3071m2k2.1625m3k1.4451m5.1k852.749u10k436.633u20k219.208u30k146.486u51k86.436u100k44.2u
    리포트 | 10페이지 | 1,500원 | 등록일 2020.10.02
  • 로렌츠 변환과 이용
    수식입니다. u`=` {u'`+`v x'} over {c ^{2}} )```ㆍㆍㆍi) 또한 위 식에서 동시성 수식입니다. ( TRIANGLE t`'`=0) 을 가정할 때, 수식입니다 ... 수식입니다. u`=`u prime `+`v``ㆍㆍㆍx`ii) ... {dx} over {dt} `=`u,```` {dx'} over {dt'} `=u' 로 놓으면, 수식입니다. vii) 식은 아래와 같이 나타낼 수 있다.
    리포트 | 5페이지 | 3,500원 | 등록일 2024.08.24
  • 인천대학교 일반역학 퀴즈5 과제
    x _{i}} dx _{i} =dU가 성립하며W=`- int _{1} ^{2} {dU} ``=`-(U _{2} -U _{1} ) 이며 최종적으로는W=`- TRIANGLE U 가 되므로 ... = int _{1} ^{2} {(- NABLA U) BULLET dx} 이고, 이때,NABLA U BULLET dx= sum _{i} ^{} {Partial U} over {Partial ... 2} 을` hat{i} 으로`y ^{2} 을` hat{j} 으로`변환시켜 준 뒤, -k를 곱해주면 같은 형태의 벡터가 됩니다.- 보존력 F의 특징은 퍼텐셜 에너지 U와 다음과 같은
    리포트 | 2페이지 | 2,000원 | 등록일 2020.07.21
  • [프리미엄 PPT 템플릿] 고급 사업계획서 PPT 템플릿 파워포인트 디자인 금융 비즈니스 제안서 PPT 사업계획서 PPT 금융 PPT 다이어그램
    temporibus eam cu, ad mea ipsum sadipscing , sed lorem ace ex assum omnium contentiones . ... temporibus eam cu, ad mea ipsum sadipscing , sed lorem ace ex assum omnium contentiones . ... Creativity High like the moti and apeirian temporibus eam cu, ad mea ipsum sadipscing , sed lorem ace
    ppt테마 | 5페이지 | 5,000원 | 등록일 2022.01.20 | 수정일 2024.07.15
  • [전산열유체역학(CFD)] Inviscid Burgers equation에 FVM적용하기
    1,1:21) = 1;% boundary conditionu(:,1) = 1;for n = 1:18for i = 2:40u(n+1,i) = u(n,i) - (dt/(2*dx))*(u ... (n,i)^2 - u(n,i-1)^2);endendfig1 = figure(1);plot(x,u(1,:),'-d',x,u(7,:),'-^',x,u(13,:),'-v',x,u(19,: ... 1,1:21) = 1;% boundary conditionu(:,1) = 1;for n = 1:18for i = 2:40u(n+1,i) = u(n,i) - (dt/(2*dx))*(u
    리포트 | 26페이지 | 2,500원 | 등록일 2021.10.05
  • (거시경제학) 반복게임의 벨만방정식 풀이법
    특정 경기자가i번째기에u_i의 보수를 얻는다고 하면,t기 반복게임의 보수는V``=``u_1``+``deltau_2``+``cdots``+``delta^t-1 u_t (delta : ... 이러한 상황에서 (t-1)기에theta _{j} `=` theta 였다면 국가 i는 t기에 F를 선택하고 만약 (t-1)기에theta_j`=`0이었다면 국가 i는 t기로부터 시작하여 ... ★v(u_1`,`u_2`,`cdots)``=``(1-delta)u_1``+``deltav(u_2`,`u_3`,`cdots)LEFT ( because```v(u _{1} `,`u _{
    리포트 | 4페이지 | 3,000원 | 등록일 2022.01.11
  • 지역을 대표하는 관광상품 또는 '문화기반의 디자인' 사례를 조사하여, 우수사례실패(문제)사례를 비교·분석
    예를 들어서 2010년 후반대에 우리나라, 특히 서울에서는 전세대란이 일어났는데, SNS상에서는 I·SEOUL·U의 슬로건을 패러디해서 집 주인이 ‘I seoul U(나는 너의 전세금을 ... 그런데 마침내 I·SEOUL·U가 처음 세상에 나왔을 때 대중의 반응은 부정적이었다. ... 서울시의 주택 정책을 비판하기 위한 수단으로 서울시의 로고인 I·SEOUL·U가 활용된 것이다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.08.25
  • TT 계통의 전원자동차단에 의한 감전보호
    적정 : Z{}_{S} × I{}_{a} ≤ U{}_{0}나. ... 자동차단조건 : Z{}_{S} × I{}_{a} ≤ U{}_{0}나. Z{}_{S} × I{}_{a} = 1.5638 × 100 = 156.4V다. ... (그림2) TT 계통의 고장회로 임피던스의 구성도2) 자동차단조건과전류 보호장치를 사용하는 경우 자동차단조건은 다음과 같다.Z{}_{S} × I{}_{a} ≤ U{}_{0}I{}_{
    리포트 | 9페이지 | 2,000원 | 등록일 2021.07.25
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:36 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대