• 통큰쿠폰이벤트-통합
  • 통합검색(278)
  • 리포트(265)
  • 논문(5)
  • 시험자료(5)
  • 서식(2)
  • 자기소개서(1)

"Timer module" 검색결과 221-240 / 278건

  • [컴퓨터공학] The Design and Performance of a Real-time CORBA Event Service
    filtering type-based subscription tables for every supplierTAO's RT Event Service ArchitecturePriority Timers ... Proxy Manages all timers Cooperates with the RT Scheduler Heap-based O(logN)TAO's RT Event Service ArchitectureEvent ... connect and disconnect from the channelTAO's RT Event Service ArchitectureSubscription and Filtering Module
    리포트 | 22페이지 | 1,000원 | 등록일 2004.06.04
  • [avr] 타이머/카운터
    Width Modulator(PWM)- Frequency Generator- 외부 카운터- 10비트 프리스케일(Prescale)- Oerflow Interrupt Source(TOV0 ... 8비트 타이머/카운터 개요- 단일 채널 카운터- Clear Timer on Compare Match(Auto Reload)- Glitch-free, Phase Correct Pulse ... /Counter0 Overflow Interrupt EnableBit 0 - OCIE0: Timer/Counter0 Output Compare Match Interrupt Enable16비트
    리포트 | 6페이지 | 1,000원 | 등록일 2004.06.08
  • Zigbee를 이용한 센서네트워크
    .............172.4.2습도Sensor(Humidity Sensor).................................192.4.3가스Sens개의 16Bit Timer ... 환경의 기반 기술인 센서 네트워크 환경 개발 및 교육용 실습 키트로, 7개의 센서(온도, 조도, 습도, 가스, 초음파, 초전, 가속도) 입력 값을 받아서 A/D 변환을 하여 RF 모듈
    리포트 | 101페이지 | 6,000원 | 등록일 2007.10.03 | 수정일 2020.03.15
  • [80C196KC내부구조] 80C196KC의 내부구조
    및 주기적 인터럽트를 발생가능 HSI (타이머1을 이용 8 State Time 단위로 외부발생사건을 기록) HSO(타이머1,2를 기본시간단위로 외부사건을 트리거 시키는 모듈)내장 ... 상위, 하위 바이트 값이 저장된다.TIMER1TIMER2의 상위, 하위 바이트 값이 저장된다.TIMER2TIMER2 캡쳐 레지스터. ... /D Converter - 8개의 채널 - 입력신호를 8,10bit의 Digital신호로 변환 - PTS의 A/D Scan mode로 더욱 용이수행 PWM (Pulse Width Modulation
    리포트 | 28페이지 | 1,000원 | 등록일 2003.09.17
  • [운영체제]커널컴파일
    이런 기능을 이용하는 장치로는 네트워크 카드, 모뎀, 하드 드라이브 등 여라이버들을 모듈로 설정해야 합니다. ... Watchdog WDT Watchdog timer WDT PCI Watchdog다. ... 잘 모르겠으면 [N].11.4 Watchdog Cards[*] Watchdog Timer Support[ ] Disable watchdog shutdown on close Software
    리포트 | 15페이지 | 1,000원 | 등록일 2006.07.20
  • [프로그래밍] 프로시저와 제어구조
    결과값을 받고자 한다면 함수를 사용해야 한다위의 그림은 Form1 에 있는 타이머 컨트롤을 더블클릭해서 나타난 폼모듈입니다지금 폼모듈에는Private Sub Timer1_Timer( ... 즉, 하나의 함수입니다Private : 현재 모듈내에서만 사용되는 프로시저 라는 것을 의미Sub : 프로시저중 Sub 형태의 프로시저임을 표기Timer1_Timer() : Timer1 ... 이라는 컨트롤의 Timer() 함수임을 의미전체적으로 Private Sub Timer1_Timer() 라는 것은Timer1 컨트롤에 정의된 Interval을 이용하여(1초 = 약
    리포트 | 8페이지 | 1,000원 | 등록일 2002.11.17
  • PLC란 무엇인가?
    PLC의 개념- PLC(Programmable Logic Controller) : 자동제어반 내의 Relay, Timer, Counter 등의 기능을 LSI, Transistor 등의 ... 미국전기공업회규격(NEMA)의 PLC 정의 디지털 또는 아날로그 입출력 모듈을 통해 Logic, Sequencing, Timing, Counting, Operating 등의 특수기능을
    리포트 | 14페이지 | 1,500원 | 등록일 2008.12.11
  • Verilog 코드를 이용한 DashWatch 설계
    Inputs, Outputs, and Registers of the DashWatchSymbolFunctiontypeSTARTInitialize timer to 0 and start ... ButtonStop ButtonCSS Button 후Start Button⇒⇒Stop ButtonCSS Button으로 비교(작은 수 Segment 표시)Reset ButtonVerilog 코드module
    리포트 | 14페이지 | 2,500원 | 등록일 2009.01.03
  • [라인트레이서] 라인트레이서-80196
    output(PWM), Timer1, Timer2, High Speed I/O Unit, A/D 변환기 그리고 watch-dog timer 등의 주변 장치가 있다.(1) Pulse ... 출력파형은 가변 듀티싸이클 펄스로 반복 시간은 256상태 또는 512상태 시간 중에서 변경할 수 있다.(2) Timer 1/2- Timer 1 : 타이머1은 매 8cycle마다 증가하는 ... with Modulation Output(D/A)PWM출력으로 디지털을 날로그로 변환할 수 있다. 80196KC에는 3개의 P WM출력이 있다.
    리포트 | 8페이지 | 1,000원 | 등록일 2003.06.14
  • 운영체제(공룡그려진책) 연습문제 풀이 입니다.(1~6장)
    Provision of this protection is the reason for dual-mode operation, memory protection, and the timer. ... 시스템보다 더 오래 걸리게 된다.이에 반해 문제에 정의된 실험적 합성 운영체제는 계층적 방법의 문제점을 해결할 수 있다.이렇듯 커널을 설계하고 시스템 성능을 최적화 시키기 위해서는 모듈화된
    시험자료 | 36페이지 | 2,000원 | 등록일 2008.12.10 | 수정일 2014.07.07
  • [공학]PLC 개념과 사용원리 및 실험
    입력의 종류로는 DC24[V], AC110[V] 등이 있고, 그 밖의 특수입력 모듈로는 아날로그 입력(A/D) 모듈, 고속 카운터(high speed counter) 모듈 등이 있다.입력부 ... 대부분의 PLC는 접접의 AND, OR연산과 Timer/Counter 연산 등의 기본 연산 기능과 서브루틴, SHIFT, MASTER CONTROL, 데이타 연산 등 응용 연산 기능을
    리포트 | 13페이지 | 1,000원 | 등록일 2006.06.21
  • [공학]마이크로프로세서 프로젝트(휴대폰 따라하기)
    unsigned char BYTE;//unsigned char 형을 BYTE로 정의WORD CountValue,Dat,TargetDat,Speed;BYTE flag,i;int j;// Timer ... LED3 P3_2//세번째 열이 눌러졌을때 켜지는 LED//DC모터를 정지하는 함수void motor_off(void){DC_MOTOR = 0;}//PWM(Pulse Width Modulation
    리포트 | 13페이지 | 5,000원 | 등록일 2007.06.23 | 수정일 2014.12.04
  • 마이크로컨트롤러 각 핀 에 대한 상세한 설명
    T2CAP : T2CAPTURE의 레지스터에서 TIMER2의 값을 P2.7에서 오르는 값으로 캡처 한다.36. PMODE : 프로그래밍 모드를 선택. ... port, 범용 IO port로 사용한다.① P1.0~P1.2 : IO port로 사용한다.② P1.3/PWM1, P1.4/PWM2 : IO port 및 PWM(Pulse Width Modulation
    리포트 | 5페이지 | 1,000원 | 등록일 2007.10.07
  • [전자계산] 비주얼 베이직
    Textbox, Frame, Command Button, Checkbox, Option Button, Combo Box, List Box, Scrollbar, Scrollbar, Timer ... Visual Basic 프로젝트 구조폼 모듈, 표준 모듈, 클래스 모듈은 코드를 조직화 할 수 있는 계층을 형성한다. 각 모듈은 프로시저와 선언부분을 포함한다. ... 그 코드는 해당 응용 프로그램 내의 다른 폼과 객체들을 참고할 수도 있다.표준 (Standard) 모듈표준 모듈 (파일 확장자 .bas)은 응용 프로그램 내에서 다른 모듈들이 공통으로
    리포트 | 8페이지 | 1,000원 | 등록일 2004.10.30
  • 마이크로프로세서 ARM7회로도 분석
    PWM - Pulse Width Modulation, 입력 전압의 진폭정보를 일정한 전압의 펄스폭으로변조 해 준다.2. ... 기능TD - Transmit Data, 데이터 전송RD - Receive Data, 데이터 수신TK - 클락 전송RK - 클락 수신TF - 전송 프레임 동기화RF - 수신 프레임 동기화Timer
    리포트 | 5페이지 | 1,000원 | 등록일 2006.11.24
  • [마이크로프로세서] Atmega128을 이용한 라인트레이서자료
    , 8-bit Timer/ Counter로서 다음과 같은 식에 의해 Pulse를 발생시킨다. ... PWM은 Pulse Width Modulation(펄스 폭 변조)의 준말로써, 펄스신호의 Duty-Ra te를 조정하는 방법을 말한다. ... 구동부{그림 20 DC-Motor 구동 드라이버 회로나.포토커플러{그림 21 포토커플러회로(4) 구동부 구현PWM 구동을 위해 ATMega128의 Timer/Counter0을 사용하였으며
    리포트 | 29페이지 | 3,000원 | 등록일 2005.05.21
  • PLC언어의 종류, CNC 구조
    대부분의 PLC는 접점의 AND, OR연산과 Timer / Counter 연산 등의 기본 연산 기능과 서브루틴, SHIFT, MASTER CONTROL, 데이터 연산 등 응용 연산 ... 특히 CNC시스템 영역에 있어서, MMC, NCK, PLC 모듈의 기능을 수행하기 위한 프로세서 유닛은 각각 주 프로세서를 비롯하여 시스템 롬, 사용자 프로그램 또는 실행 프로그램이 ... 이상과 같이 3개의 모듈로 구분되어지는 MMC, NCK, PLC의 기능을 수행하는 수치제어 장치가 공작기계에 연결되어 있는 경우에, 전체 시스템의 개념적 구조를 하드웨어 관점 및 소프트웨어
    리포트 | 10페이지 | 1,500원 | 등록일 2006.09.27
  • [졸업작품]8051을 이용한 오실로스코프 제작 및 모니터 디스플레이
    외부에 롬과 램을 장착할 수 없다.5. 4개의 TIMER를 갖고 있다.6. ... 섹터)단위In System 프로그램- 32 포트 I/O; 모든 입출력 포트의 5V 입력 가능- 하드웨어 SMBus (I2C 호환), SPI, UART시시얼포트- 5개의 캡쳐/비교 모듈에 ... ADC, Analog 멀티플렉서, 프로그램가능 게인앰프, Timer, DAC 가 프로세서 내부에 모두 내장되어 있으므로 1 칩으로 간단한 멀티채널 디지털 스토리지 오실로스코프가 구성된다
    리포트 | 7페이지 | 3,000원 | 등록일 2006.03.03
  • MCU 예비보고서
    .· 타이머(timer)와 카운터(counter) : 타이머는 시간과 관련된 작업을 위해 필요하다. ... 소비전류면에서 8051보다 전력소모를 절약할 수 있는 장점이 있다.IDLE 모드 : 내부의 CPU 모듈에는 전원이 차단되지만 내부의 RAM과 타이머, 시리얼 포트 등은 전원이 인가되며
    리포트 | 3페이지 | 1,000원 | 등록일 2007.05.21
  • [서보기기] 스텝모터
    OnStop)ON_BN_CLICKED(IDC_EXIT, OnExit)ON_NOTIFY(NM_OUTOFMEMORY, IDC_SLIDER1, OnOutofmemorySlider1)ON_WM_TIMER ... 스텝모터의 종류3. 8255 I/O모듈 사용법4. 프로그램5. 결과 및 문제점1.실험 목적☞스텝모터는 우리가 처음 접하는 것이 아니다. ... 팩시밀리등이 있고, 기타 마이크로마우스, 산업공단의 로봇팔에 이용된다.이번 실험을 통해 스텝모터가 어떻게 구동되는가를 알아보았다.☞ PC의 프린터 포트를 이용☞ 8255 인터페이스 모듈
    리포트 | 13페이지 | 1,000원 | 등록일 2004.04.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:09 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대