• 유니스터디 이벤트
  • 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,532)
  • 리포트(2,260)
  • 자기소개서(121)
  • 시험자료(97)
  • 방송통신대(44)
  • 논문(7)
  • 서식(1)
  • 이력서(1)
  • ppt테마(1)

"논리회로 신호등" 검색결과 241-260 / 2,532건

  • TTL gates 실험보고서
    이 때 scope의 setting을(예: X축 : 0.5 μsec/div, Y축 : 2 V/div등) 반드시 기록하여야 한다.ch A: 10ch B: 514.5 입출력 신호의 시간 ... TTL gate중의 open collector gate는 논리 “1”일 때 다른 gate와는 달리 전류를 공급하지 못한다. ... 실제 내부에서는 마지막의 transistor의 collector가 연결이 되지 않은 상태로 있어서 논리 “0”의 상태에서 current sink의 역할을 한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.04.06
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서10
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... 차별 안하기: 인종, 종교, 성별, 장애, 연령, 출신국 등의 요인에 관계없이 모든 사람을 공평하게 대한다.9. ... 있다.- Feedback path가 있는 converter에는 ramp type, counter type, successive approximation A/D Converter 등이
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • V. 제어기술 요약정리 및 예상문제
    논리합(OR) 연산: A와 B중 어느 한쪽이 성립할 때 S가 성립됨ABS00001110111125.시퀀스 회로(1) 자기 유지 회로: 푸쉬버튼 등의 순간동작으로 만들어진 입력신호가 ... 계전기에 가해지면(전자접촉기 또는 전자계전기) 입력신호가 제거 되어도계전기의 동작을 계속적으로 지켜주는 회로.(2) 지연 회로: 타이머에 의해 설정된 시간만큼 늦게 동작하는 회로(3 ... 사용되는 회로ex) 엘리베이터 등(5) 3상 유도 전동기의 Y-△ 기동회로: 시동 시 전류가 정상운전시의 전류보다 적게 흘러 차단기가 트립 되는 것을 방지하여 운전하는 회로이다.
    시험자료 | 3페이지 | 1,500원 | 등록일 2020.04.28
  • 디지털 논리회로의 응용 카운터/시프트레지스터
    디지털 논리회로의 응용 – 카운터/시프트레지스터 예비 레포트 실험 목표 비동기식 카운터와 동기식 카운터의 원리에 대해 이해할 수 있다. ... 비동기식 카운터는 첫 단의 플립플롭에 클럭 신호가 인가되어 이 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어있는 회로를 말하며, 클럭의 영향이 물결처럼 후단으로 ... 카운터는 특정 사건의 발생 횟수를 계산하고, 시스템의 작업을 제어하기 위한 타이밍 간격을 생성하며, 특정 사건들 사이의 경과 시간을 추적하는 등의 작업을 할 수 있다.
    리포트 | 16페이지 | 2,000원 | 등록일 2022.03.03
  • 아주대학교 논리회로실험 / 6번 실험 Latch, Flip-Flop 결과보고서
    역술하자면 플립플롭은 동기식 순서 논리회로라고 할 수 있겠다. 좀 더 정확히 서술하자면 이들은 클록 신호가 1이 아니면 작동하지 않는다. ... 래치 회로는 궤환 기능이 있어 기본적으로는 플립플롭 회로와 같은 기능을 수행하나, 클록을 사용하지 않는다는 점에서 비동기식 순서 논리회로다. ... 래치의 경우 클록이 없으므로 입력과 출력만 영향을 주지만 플립플롭의 경우 여기에 클록까지 고려해서 작동 한다는 것이다.본 실험에서는 S-R, J-K, D등 다양한 래치와 플립플롭을
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.20
  • 충북대 기초회로실험 카운터 회로 예비
    또한 글리치 등의 염려가 없고, 빠른 클럭신호에 의해 구동할 수 있다.동기식, 병렬식 및 클럭(Clocked) 카운터는 모든 단이 클럭펄스에 의해 동시에 트리거 되는 카운터이다. ... 익힌다.(4) 증계수, 감계수 및 증/감계수의 논리를 이해한다.이론(1) 비동기식 카운터플립플롭의 구동방식에 따라 비동기식 카운터와 동기식 카운터로 나뉜다. ... 상태를 바뀐다.이에 반해 비동기식 카운터는 첫 단의 플립플롭에 클럭신호가 인가되어, 이 첫 단 플립플롭의 출력이 다음 단의 플립플롭을 트리거 시키도록 되어 있는 회로를 말하며, 클럭의
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.10
  • 아주대학교 논리회로실험 / 9번 실험 RAM 예비보고서
    실험 이론Memory (메모리)메모리의 읽기 동작메모리의 쓰기 동작메모리는 컴퓨터, 기타 신호처리 장치, 디지털 신호 프로세서에 사용되는 데이터와 명령을 일시적으로 저장할 수 있고 ... Pspise 등에서 프로그래밍을 하던가, 아니면 빵판에 해당 기능을 구현한다고 했을 때 위와 같은 방식을 사용하면 가능하다.5. ... (순서는 상관 x)6. 3Y와 4Y에 저항과 다이오드를 연결해서 결과를 확인한다.이 RAM 회로는 R-S 플립플롭을 이용해 메모리 저장과 출력을 수행하는 회로다.
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 9. PLC 및 모터제어 실험
    래더 다이어그램은 논리 AND연산자의 직렬회로논리 OR의 병렬회로에 분석이 용이하다.래더 다이어그램의 표현은 표 10.2와 같다.표 10.2(3) PLC의 작동원리PLC는 입출력장치 ... 등멱정리?부정정리?논리식의 단순화불대수는 논리표현식을 아주 간단한 모양으로 축소시키는 효과적인 방법이다. ... 논리회로의 형태와 같은 구조를 기술하는데 필요한 수학적 이론으로 변수들의 입출력 관계를 대수적으로 쉽게 표현할 수 있다. 2진 변수란 참(T,ON)과 거짓(F,OFF)의 두 값만을
    리포트 | 13페이지 | 1,000원 | 등록일 2019.09.15
  • 광운대학교 전기공학실험 실험7. 디코더와 인코더 결과레포트 [참고용]
    (왼쪽 회로도 내 스위치의 명칭을 ABCD로 한다.)(5) 그림 7의 74148 인코더의 입력단에 스위치를 통한 입력신호 발생회로를 접속하고 출력단에는 트랜지스터를 통한 LED 구동회로를 ... NAND게이트로 구성한 논리회로가 이전 회로와 동일하게 작동, 이는 진리표로 확인 가능하며 이론값은 이전 회로의 출력값이다.(2) 74138 디코더에 대해 회로를 구성하고 입력의 ... 고찰본 실험을 통해 디지털논리회로 설계의 과정 중 NAND, NOR게이트로의 변환을 통해 공학적으로 더 나은 회로를 구성하도록하는 방법을 알 수 있다.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.01.02
  • 지미 소니, 로브 굿맨 공저 <저글러, 땜장이, 놀이꾼, 디지털 세상을 설계하다>, 정보화시대를 연 괴짜 수학자 이야기
    논문에서 그는 전기회로로 모든 논리 연산이 가능함을 보여주었다.섀넌이 증명한 바에 따르면 논리연산을 전기회로로 구현할 수 있으므로, 전기회로로 구성된 기계가 인간의 합리적 행위를 대신할 ... 그의 단순해 보이는 모형은 인간의 메시지, 회로의 메시지, 뉴런의 메시지, 혈액의 메시지 등 모든 메시지를 설명할 수 있다. ... 그 덕분에 우리의 신호는 광속으로 여행할 수 있게 되었다.그런데 신호는 전송 과정에서 방해를 받기 마련이다. 모든 신호는 잡음에서 벗어날 수 없다.
    리포트 | 6페이지 | 2,000원 | 등록일 2023.08.27
  • 성균관대학교 대학원 학업계획서
    , 하드웨어의 회로에 대한 교과 과목으로 회로이론, 논리회로, 전자회로를 수강함으로써 회로의 기본동작에 대해 공부했습니다. ... OFDM을 구현할 때 디지털 통신, 신호 및 시스템 등을 활용하여 신호가 수학적으로 IDFT 형태가 되고 채널에선 DFT와 duality를 가지는 circular convolution을 ... 회로 등을 직접 구현하는 것도 흥미로웠습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.03.09 | 수정일 2022.04.04
  • 기초전자회로실험 - 비동기,동기 카운터 예비레포트
    앞의 회로를 수정하여 출력 파형을 오실로스코프나 논리 분석기를 이용하여 관찰하라. ... 논리적 진실(TRUTH)을 각 플립-플롭의 다른 출력에서 취하면 그림19-3과 같은 회로주 짧은 스파이크를 찾아보아라. ... 가장 낮은 주파수이며 대칭신호인 Qd로 2채널 오실로스코프를 트리거 시키고 채널2를 이용하여 각각의 출력을 관찰하라(논리 분석기가 있다면 동시에 4출력을 관찰할수있을 것이다.)
    리포트 | 11페이지 | 2,000원 | 등록일 2021.02.27
  • [예비레포트] 기초 시퀀스 회로 실험
    램프제어AND 논리회로를 이용한 램프제어실험기기시퀀스 실험 장치판, 스위치, 릴레이, 타이머, 30W 백열등, 연결선 PAGE \* MERGEFORMAT 2 ... 중의 구성요소로써 논리판단, 기억, 지연 등의 기능을 가지고 있다. ... 타이머의 시한 회로 접점과 논리 심벌 및 동작예비보고서다음의 시퀀스 회로에 사용되는 소자의 동작에 대해 숙지하고, 결과를 예측한다.릴레이를 이용한 램프 직접제어OR 논리회로를 이용한
    리포트 | 6페이지 | 1,000원 | 등록일 2019.11.26
  • 정보통신기사 필기 핵심요약정리 2019최신합격자료
    NOT게이트)그림 상 출력 Y의 위치가 아래 : 논리다수결회로 : XORY=AB+ABㅡ : 일치회로Y=AB*ABㅡ : 불일치회로재생 중계기 : 등화 / 타이밍 / 식별재생그림 상 저항이 ... : 한곳에 모여있는 LAN 들 연결RIP : 라우터의 대수에 따라 최단 경로를 동적으로 결정하는 프로토콜PCM : 양자화잡음일괄 처리 방식 : 요금과 급여계산 및 경영자료 작성 등에 ... (전압)증폭도↑역방향 : 전압그대로새그 : 기울기단파 : 3~30대역 / AM장파 : FM발진 : 입력신호 없이도 교류 신호 발생시키는 것정궤환을 이용해 전기 발생발진회로에서 발진을
    시험자료 | 11페이지 | 2,000원 | 등록일 2019.11.01
  • [전자계산기구조] 이진법
    소프트웨어, 컴퓨터, 데이터 전송 등의 분야에서는 bit 단위가 사용되는데 비트가 데이터전송이나 컴퓨터에서 사용되는 이유는 논리의 표현이 매우 간단하고 2진수는 0또는 1의 값밖에 ... 그 회로에서는 기계적, 전기적인 이유로 발생되어지는 불 필요한 신호나 데이터를 전송할때에 이로 인해 데이터가 변화하는 것을 막기 위해 전송되어지는 문자 마다 미리 정해져 있는 방법으로 ... 하지만 컴퓨터는 10진수, 8진수, 16진수 등 많은 진수들 중에 2진수만을 사용합니다. 왜 컴퓨터에서는 2진법을 사용할까요?
    리포트 | 4페이지 | 1,000원 | 등록일 2020.08.30
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab08(예비) / 2021년도(대면) / A+
    IT CookBook, 디지털 논리회로. 조합논리회로.4) ㈜한백전자. HBE-COMBO II – SE Verilog HDL 실습 Verilog HDL 문법 ... 소리나 경고음 등을 표현할 수 있다.- 음성 주파수 대의 펄스 신호를 입력하여, 해당 주파수의 소리를 출력하게 한다.- PIEZO 주파수에 따른 음계는 다음 표와 같다.2. ... 이진화 십진법을 사용할 경우 각 자리 별로 하나씩 간단한 변환 회로를 만들어서 7-Segment 표시 장치 등에 바로 연결할 수 있기 때문에 전체적인 구현을 간편하게 할 수 있다.
    리포트 | 12페이지 | 2,000원 | 등록일 2022.07.16
  • 12주차 최종본, 오실로스코프와 파형발생기
    TTL 및 CMOS 논리 신호도 제공되므로 디지털 회로 분석에도 용이하다.실험보고서실험주제오실로스코프의 동작 원리와 사용법을 익힌다.파형발생기의 사용법을 익힌다.1.2 실험 장비실험기기오실로스코프1대파형발생기1대1.3 ... 제공되는 파형의 주파수는 아주 낮은 범위에서 높은 범위까지 가변 될 수 있어서 회로 시스템의 주파수 특성을 분석하는데 좋은 신호 제공기가 된다.디지털 회로에서 입력파형으로 요구되는 ... 오실로스코프에 나타나는 파형을 이용하면 전기 신호, 특히 교류신호의 크기, 주파수, 위상 등을 측정할 수 있으므로, 교류를 다룰 때 매우 중요하다.- 아날로그 오실로스코프: 아날로그
    리포트 | 14페이지 | 1,000원 | 등록일 2022.06.08
  • 서울시립대학교 편입 학업계획서
    회로 및 컴퓨터 과목 관련해선 회로이론, 논리회로, 전자회로를 수강함으로써 회로의 기본동작에 대해 공부했습니다. ... 과 전공과의 연계성 및 발전 방안회로, BJT 회로 등을 직접 구현하는 것도 흥미로웠습니다. ... 또한 신호및시스템, 확률 및 랜덤프로세스를 수강할 예정입니다. 2학기 때는 디지털 신호처리, 디지털통신, 제어공학을 수강하여 1학기 과목의 심화 과정을 공부함으로써 IoT 제어과정과
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.12.26 | 수정일 2022.10.01
  • 연세대학교 일반대학원 시스템반도체공학과 학업계획서
    저는 OO대학교 반도체공학과에 재학을 하면서 논리회로실험1,2, 컴퓨터프로그래밍기초, 반도체개론, 회로이론1,2, 반도체소자공학1,2, 논리회로1,2, 센서응용공학, 전자회로1,2, ... 함유된 Sb를 통하여 구조적 왜곡을 억제하여 산소 발생 반응 중 산에서의 안정성을 향상시키는 연구, 높은 신호 마진 및 높은 처리량을 갖춘 분할 WL 6T SRAM 기반 비트 직렬 ... 반도체공정1,2, CMOS소자및신뢰성측정, 박막공학, IoT센서, 반도체측정, FullCustom레이아웃, TCAD소자공정설계, SoC설계, VLSI시스템 등의 수업을 이수하고 졸업하였습니다
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.01.27
  • SK하이닉스 2019년 상반기 PKG개발 합격 자기소개서
    [ARTWORK부터 넥밴드 회로 설계경험까지 꾸준히 익힌 회로와 소자]대학교 1학년때부터 회로 설계에 있어서 기초적으로 필요한 논리회로, 회로이론, 전자기학, 반도체공학을 이수하였고 ... 및 시스템, 무선통신시스템, 디지털신호처리를 이수하면서 Matlab, Labview, Python등 각종 Analysis Tool을 통해 다양한 신호를 분석하고 공부하였습니다. ... 이를 바탕으로 oo에 근무하면서 HW부서에서 OrCad를 이용하여 넥밴드 블루투스 회로를 설계 및 수정했습니다.회로에는 BT chip, Mic, Motor, Amp, Connector등
    자기소개서 | 3페이지 | 3,000원 | 등록일 2020.02.29
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:04 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대