• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(328)
  • 리포트(320)
  • 시험자료(3)
  • 논문(2)
  • 방송통신대(2)
  • 자기소개서(1)

"7 세그먼트 디코더" 검색결과 241-260 / 328건

  • 디지털시계 설계 및 원리
    그림 14-1에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... 분이나 초를 나타내는 6진 또는 10진 카운터들은 BCD-to-7세그먼트 디코더(TTL 7447)를 이용하여 값을 쉽게 표시할 수 있다. ... 또한 12진 카운터의 값이 10 또는 11일 경우 하나의 TTL 7447 디코더7세그먼트 표시기로 값을 표시하면 하나의 자리로 표시되기 때문에 알아보기 힘들다.
    리포트 | 10페이지 | 2,000원 | 등록일 2009.01.31
  • Encoder, Decoder, Mux 예비레포트
    디코더는 보편적으로 사용되는 집적회로이다. 디코더는 입력변수들에 인가된 코드를 변환하여 출력코드를 제공하는 조합논리회로이다. ... 시뮬레이션 결과는 다음과 같았다.출력된 값은 a, b, c, d, e, f, g의 세그먼트에 연결되고 Low Level Voltage에서 점등이 되고 High Level Voltage에서 ... 부호화기(encoder)는 디코더와 반대되는 기능을 수행한다.
    리포트 | 14페이지 | 1,000원 | 등록일 2010.10.16
  • [Lab#4]7-Segment LED Display 실습
    세그먼트 표시장치의 편리했던 점, 응용하면 좋을 아이디어를 찾아보세요.▼7세그먼트 디스플레이도 엘리먼트의 종류에 따라 여러가지가 있다. ... LED Display 디코더/드라이버 IC 이다▷입력 : 4비트 BCD코드로 입력된다, 즉 D(=), C(=), B(=), A(=) 입력으로 작용한다. ... 다음 그림과 같이 위에서부터 시계방향으로 a, b, c, d, e, f, g 세그먼트와 dp(소숫점)의 8개▷7-segment 핀 번호:?
    리포트 | 17페이지 | 1,000원 | 등록일 2011.06.02
  • 인코더와 디코더 실험예비보고서
    예비보고서(1) BCD 코드에서 7세그먼트의 각 요소를 on 시키는 Boole 논리함수[식 (9)와 같은 형태]를 모두 쓰라.(2) BCD/7-segment 디코더/드라이버 (74LS47 ... 예비 보고서인코더와 디코더1. 실험 제목-인코더와 디코더2. ... )를 인터넷에서 찾아 논리 심볼과 pin 구성도를 그려라.①Logic Symbol.② pin 구성도.※ RBI 포트가 Low인 경우 0에 해당하는 BCD 코드가 입력되었을 때, 7-
    리포트 | 3페이지 | 1,000원 | 등록일 2009.05.15
  • 카운터 설계( 결과보고서), 36진 카운터
    그리고 7-Segment 각각의 LED에 연결을 해주면 7-Segment와 디코더와는 연결이 끝난다. ... 설계목적지금까지 배운 디코더와 플립플롭의 원리를 이펄스를 주어 디코더를 거쳐 7-segment에 신호가 들어가 원하는 숫자가 카운터 되는 것을 확인한다.Ⅱ. ... 이때 7-segment 의 LED가 높은 전압으로 인해 망가질 수 있기 때문에 세그먼트의 3번핀에 전압을 인가할 때 330의 저항을 거쳐서 인가해 주어야 세그먼트의 LED가 보호된다
    리포트 | 12페이지 | 2,500원 | 등록일 2010.07.12
  • 10진 BCD부호 변환기 세미나
    위한 디코더이며, 7448, 7449 는 캐소드 공통형 7세그먼트 표시기를 위한 디코더이다.BCD-to-7 세그먼트7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7세그먼트 표시기라고 이름이 붙여졌다BCD-7 세그먼트 디코더BCD-7 세그먼트 ... C-MOS는 3V~15V이며 TTL보다 전력소모가 적다. ● BCD-to-7 세그머트 디코더 기능을 수행하는 TTL의 종류 7446, 7447 칩은 애노드 공통형 7세그먼트 표시기를
    리포트 | 16페이지 | 1,500원 | 등록일 2008.09.10
  • [논리회로실험설계] 한 자리 십진수 가산기
    결과 및 고찰마지막으로 논리 회로 실험에서 하는 프로젝트이 주어진 3개의 설계 중 7세그먼트를 기본 소자를 이용하여 디코더를 제작한 뒤 그 출력 값들을 이용해 7-세그먼트를 구현 해보는 ... 그로 인해 7-segment를 포기하고 LED를 이용해 표현을 하기로 하였다.표현해야 하는 변수는 먼저 10의 자리이다. ... 그리하여 가산기를 하되 세그먼트까지 같이 구현을 할려고 했다.
    리포트 | 14페이지 | 2,000원 | 등록일 2011.07.14
  • [디지털회로실험]인코더와 디코더
    부르며, 7개의 세그먼트가 있기 때문에 7세그먼트 발광 다이오드라 부르고 있다.3) 2진코드대부분의 컴퓨터들이 내부적으로는 2진수를 사용하지만, 입출력 장치들은 보통 10진수를 사용한다.범용의 ... 형태로 부호화(Code)되어져야만 한다. 2진코드의 간단한 형태는,각 10진 자리가 그에 상응한 2진 코드로 대치시키는 것이다.예를 들어,937.25는 다음과 같이 표현된다.9 3 7 ... 예비보고서실 험 주 제 :인코더와 디코더과 목 :디지털회로실험학 번 :분 반 :이 름 :1.실험 제목: 인코더와 디코더2.관련 이론1) 인코더와 디코더【인코더(encoder)】인코더는
    리포트 | 5페이지 | 1,000원 | 등록일 2008.09.20
  • 인코더
    예비보고서(1) BCD 코드에서 7세그먼트의 각 요소를 on 시키는 Boole 논리함수[식 (9)와 같은 형태]를 모두 쓰라.(2) BCD/7-segment 디코더/드라이버 (74LS47 ... segment 디코더/드라이버 (74LS47)의 입력에 연결한다.② 이때 디코더(74LS47)의와의 입력 단자는 0으로 접지 시킨다.③ 74LS47의 출력에 7세그먼트 LED를 달아서 ... 그리고 74LS47의 출력에 7세그먼트 LED를 달아서 0, 1, 2, 3의 4가지 입력에 7세그먼트 LED가 제대로 숫자를 디스플레이 하는지, 또 우선 순위대로 디스플레이 하는지를
    리포트 | 8페이지 | 1,000원 | 등록일 2008.09.26
  • 디지털시계
    그림 14-1에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 표시기 디코더, modulo-N ... 분이나 초를 나타내는 6진 또는 10진 카운터들은 5장에서 학습했던 BCD-to-7세그먼트 디코더(TTL 7447)를 이용하여 값을 쉽게 표시할 수 있다. ... 또한 12진 카운터의 값이 10 또는 11일 경우 하나의 TTL 7447 디코더7세그먼트 표시기로 값을 표시하면 하나의 자리로 표시되기 때문에 알아보기 힘들다.
    리포트 | 10페이지 | 1,000원 | 등록일 2008.09.09
  • [디지털 공학 실습] TTL IC를 이용한 3초과 to BCD 가감산기 설계
    특이사항사용 소자종 류개 수비 고I C74834개전가산기74863개XOR74002개NAND GATE74471개7세그먼트 디코더총 계10개.저 항1KΩ8개.100Ω9개.330Ω7개.총 ... 흐르는 것을 방지하여 풀업저항 사용(1kΩ)7. ... 경우 7483 B에 0000 입력.캐리가 발생하지 않는 경우 LED 점등, 7483 B에 0000 입력.블 록기 능회 로 도BCD toSegmentIC 74477 Segment 디코더
    리포트 | 9페이지 | 1,000원 | 등록일 2010.06.02
  • 디코딩 및 엔코딩 실험12.hwp
    -8)그림 12-8. 7-세그먼트에 3과 A를 표시하는 회로7세그먼트 표시기를 구동하려면 들어오는 입력을 7세그먼트로 엔코드 하는 것이 필요하다. ... 많이 사용하는 방법으로는 초기의 2진 코드를 디코딩하고 나서 새로운 코드를 엔코드하는 직접 처리과정이 있다.{3. 7 세그먼트 표시기좌측의 그림은 7세그먼트 표시기이다.여기서 a,b ... 실험 3에서 7 세그먼트에 숫자 및 문자를 표시하는 회로를 구성하라.
    리포트 | 10페이지 | 1,000원 | 등록일 2009.04.30
  • 디지털 시계 설계에 관하여
    아래그림에 나타낸 디지털 시계의 전체 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7 세그먼트 디코더, 12시간 표시기 디코더, modulo-N 카운터 ... 또한 12진 카운터의 값이 10 또는 11일 경우 하나의 TTL 7447 디코더7세그먼트 표시기로 값을 표시하면 하나의 자리로 표시되기 때문에 알아보기 힘들다. ... 카운터에서 나온 신호를 BCD to 7 세그먼트 디코더(7447)을 이용하여 표현할 수 있다.■ 디지털 시계 전체 블록도■ 동기식 modulo-N 카운터 설계▲ 동기식 순차회로와 비동기식
    리포트 | 14페이지 | 2,000원 | 등록일 2008.07.02
  • 디지털시스템(TTL CLOCK)
    비롯하여BCD-to-7, 7-segment LED. 12시간 표시기 디코더, Divide-by-N counter등이 필요하다. ... f, d ,p는 "1"을 출력하고, common 단자에는 "1"을 출력하여야 한다.(2) 7-세그먼트 드라이버7-세그먼트를 동작시키는 방법은 정적(static)인 방법과 동적(dynamic ... 7-세그먼트는 캐소드(Cathode)가 공통으로 묶여 있는 것이 있고, 애노드(anode)가 공통으로 묶여 있는 것?
    리포트 | 51페이지 | 2,000원 | 등록일 2010.07.02
  • 디지털 논리 실험, 7-Segment 제어기 동작 원리와 디코더 예비 보고서
    기본이론(1) 7-Segment 제어기7-Segment는 디지털 회로에서 숫자를 표시하기 위하여 가장 많이 사용하는 소자이다. 7개의 LED를 이용하여 숫자를 표시하게 하며, 각 세그먼트를 ... 실험목표(1) 7-Segment 제어기의 동작 원리를 이해한다.(2) 7-Segment 제어기의 디코더를 설계한다.Ⅱ. ... 이렇게 십진수에 따라서 7-Segment에 알맞게 디코딩 해주는 회로를 7-Segment제어기라고 한다. 0에서부터 9까지의 숫자를 표현하기 위한 디코더의 입력값과 출력값은 표1과
    리포트 | 4페이지 | 1,500원 | 등록일 2009.07.18
  • BCD 7-Segment Decoder 설계제안서
    명제▶ 7447 BCD 디코더 & driver, 7-segment를 이용하여 BCD to 7-segment decoder를 설계하고, 2진 입력을 BCD코드로 디코드하는 과정을 눈으로 ... 여기서 막대 모양의 LED 하나 하나를 세그먼트(segment)라고 하며, LED가 7개 사용됨으로 7-Segment 소자라고 이름이 붙여졌다.7-Segment는 2가지의 Type( ... 참고적으로 7446은 내압이 15V이다.▶ 7-Segment7-Segment 소자는 0에서 9까지의 숫자를 표시하기 위해 만들어진 소자로 그림6 과 같은 모양을 가지고 있다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • 디지털 시계 설계
    하나의 세그먼트로는 숫자 12까지 표시하는 것이 불가능 하므로 설계된 12시간 표시 디코더를 두개의 세그먼트 디스플레이에 연결 함으로써 시간 표시부분을 완성 할 수 있다.1. ... to 7-Segment Decoder12시간 표시기 디코더BCD to 7-Segment DecoderabcdefgabcdefgF G H ID C B AED C B A0V12진 카운터D ... 분의 일defgD C B AD C B A0VCLKD C B AD C B ABCD to 7-Segment Decoder12시간 표시기 디코더BCD to 7-Segment DecoderabcdefgabcdefgF
    리포트 | 36페이지 | 5,000원 | 등록일 2010.09.07
  • 로직웍스를 이용한 논리회로 구현
    실험 5: 인코더와 디코더 설계 및 구현7. 결론1. ... /드라이버인 7447 IC와 7-세그먼트를 이용하여 임의의 10진수를 표시하는 회로다. 7447의 8번 핀은 접지하며, 16번 핀은 +5V의 전압을 인가한다. 7-세그먼트는 공통 애노드형인 ... 10000000110001100011100001011010001010100100101011111100101111000110000100678900000111111111000110111111110010110111111100111110111111010011110111110101111110111101101111110111011111111110111000111111110110011111111110④아래 그림은 디코더
    리포트 | 42페이지 | 1,500원 | 등록일 2010.04.28
  • 디지털시계 설계
    분이나 초를 나타내는 6진 또는 10진 카운터들은 BCD-to-7세그먼트 디코더(TTL 7447)를 이용하여 값을 쉽게 표시할 수 있다. ... 다음 그림 에 나타낸 디코더는 12진 카운터의 값을 입력으로 받아 직접 7세그먼트 표시기를 구동할 수 있는 출력을 만들어내도록 설계하는 경우이다. ... 값을 눈으로 볼 수 있도록 7세그먼트를 사용하여 숫자를 표시해야 한다.
    리포트 | 20페이지 | 2,000원 | 등록일 2008.08.20
  • 74477SEGMENT실험보고서(74477SEGMENT 이용한 BCD->7SEGMENT 코드 숫자점등)
    5V가 측정되는지는 확인한다.3. 7447A 디코더에서 다른 입력에는 문제가 없으나 BI/RBO 입력선이 접지로 단락 되었다고 가정하라. ... 7SEGMENT의 g세그먼트에 해당하는 LED 파손-> 7SEGMENT의 g세그먼트의 LED가 파손되어 점등이 되지 않을 경우에는 8을 물론g세그먼트가 점등되는 모든 숫자의 표시가 ... 불가능하다③ 7SEGMENT의 g세그먼트에 해당하는 저항의 파손 혹은 배선 단락-> 7SEGMENT의 g세그먼트에 GND가 연결이 되지 않는 경우에는 8을 물론 g세그먼트가 점등되는
    리포트 | 5페이지 | 1,000원 | 등록일 2009.04.20
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:18 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대