• 통큰쿠폰이벤트-통합
  • 통합검색(2,453)
  • 리포트(2,307)
  • 시험자료(92)
  • 논문(22)
  • 자기소개서(14)
  • 방송통신대(14)
  • 서식(2)
  • ppt테마(2)

"Decoder" 검색결과 241-260 / 2,453건

  • (A+/이론/예상결과/고찰) 아주대 통신실험 예비보고서9
    PCM Decoder에서Serial-to-Parallel converter는 직렬 신호를 8 bit의 병렬신호로 되돌리는 데 사용된다.Serial-to-Parallel converter는
    리포트 | 12페이지 | 1,500원 | 등록일 2021.10.24
  • 디지털시스템실험 3주차 예비보고서
    이 알고리듬이 Shift and Add-3 알고리듬이다.실험방법■ 라인 디코더 구현① 2-to-4 Line Decoder 구현1. 2-to-4 Line Decoder를 위한 진리표를 ... Convertor 설계실험목표① 2-to-4, 3-to-8 라인 디코더를 설계한다.② Binary-to-BCD Convertor 설계한다.기본지식① Decoder디지털 시스템에서 ... 디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학부디지털 시스템 설계 및 실험이름 :학번 :실험제목기본적인Combinational Circuit① Decoder 설계② Binary-to-BCD
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 시립대 전전설2 Velilog 결과리포트 5주차
    조합논리 회로의 대표적인 예로는 디코더(Decoder)와 멀티 플렉서(MUX) 등이 있는데 디코더는 해독기 등으로 쓰이는 회로이고, 멀티 플렉서는 여러 개의 데이터를 입력 받아서 그 ... 시뮬레이션 결과와 실험 결과의 비교38 Decoder- 시뮬레이션 결과Functional simulationCodecodeCode 설명Input으로 A,B,C 를 넣어주었고, 8개의 ... 배경 이론3x8 decoder디코더는 해독기를 의미하며 임의의 입력 번호에 대응하는 출력만을 활성화 시킨다.N비트 2진 입력 신호를 M개 (개) 의 출력 신호로 변환시키는 동작을 수행한다.입력
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 인하대 fpga 3주차 먹스, 디코더보고서
    _2x4(in, enable, out);input [1:0] in;input enable;output reg [3:0] out; /* 모듈의 이름은 decoder_2x4이고 in은 ... adder code4 to 16 decoder code두 code를 instantiation해와서 만든 최종 code.RTL MAPTest Benchmodule tb_HW_1final ... 처음에는 c_out과 sum[3:0]을 어떻게 합쳐서 한 명령으로 decoder에 넣어줄지 몰라서 저러한 방식으로 하게되었는데 코드 완성후에 이 문제를 해결하기 위해 assing D
    리포트 | 10페이지 | 3,000원 | 등록일 2020.07.07
  • 서울시립대학교 전전설2 5주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    Post-Lab Report- Title: Lab#05 Combinational Logic 2(Encoder/Decoder & Mux/Demux)담당 교수담당 조교실 험 일학 번이 ... if문을 활용한 방법3:8 decoder by ifTest benchSimulation 결과Pin 연결- 실험 결과000 -> 00000001001 -> 00000010010 -> ... by caseTest benchSimulation 결과Pin 연결- if문을 활용한 방법3:8 decoder by ifTest benchSimulation 결과Pin 연결- else
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.20
  • 연세대학교 전기전자공학부 20-1학기 기초디지털실험 week 2 보고서
    basic concept of combinational logic and study the definitions and principles of MUX/DEMUX and Encoder/Decoder
    리포트 | 12페이지 | 3,000원 | 등록일 2020.08.18
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 5주차 Lab05 예비 레포트 Combinational Logic 2, 전자전기컴퓨터설계실험2,
    실험 목적본 실험에서는 Encoder/Decoder, Mux/Demux인 조합회로를 Verilog HDL 언어를 사용하여 설계 및 실험하고자 한다.2. ... 오늘 실험할 Encoder/Decoder, Mux/Demux 또한 조합논리회로이다.Encoder인코더는 부호기로 10진을 2진으로 바꾸는 역할을 한다. ... 예상 결과본 실험에서는 자일링스 프로그램을 통해 조합회로인 mux, demux, encoder, decoder를 베릴로그 언어를 사용해 시뮬레이션을 진행하게 된다.
    리포트 | 19페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 서강대학교 디지털논리회로실험 - 실험 4. Multiplexer, Demultiplexer and Comparator 예비 보고서
    [그림 7]의 2-to-4 Decoder를 1-to-4 demultiplexer로 사용할 수 있다. ... 일반적으로 n-to-2^{ n} decoder는 1-to-2^{ n} demultiplexer로도 사용될 수 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 삼성 전자(화상면접자료)
    (1)Decoding [n , k] block code Decide what the transmitted information was The minimum distance decoding ... (2)*Decoding (3)c1rc4c3c2c6c5Decoding plane*Problems in coding theory*Results (1)“Improved linear programming ... is optimum in a memoryless channel.Received data r (r1, r2, … , rn)Decoded messageCorrect errors and
    자기소개서 | 13페이지 | 5,000원 | 등록일 2020.05.24 | 수정일 2020.11.24
  • 디지털 논리회로의 응용 가산기/비교기/멀티플렉서/디멀티플렉서
    이번 실험에서는 대표적인 디코더 중 하나인 BCD to 7-segement decoder에 대해 다루도록 한다. ... 이 오류는 VHDL코드를 이용해서 보정할 수 있다.디코더 (decoder)와 인코더 (encoder)디코더는 인코딩된 정보를 해독하는 회로이다. ... 회로를 인코더 (encoder)라고 하며, 역으로 주어진 2진 정보가 어떠한 상태 혹은 명령을 나타내는가를 해독하는 회로를 디코더 (decoder)라고 한다.
    리포트 | 10페이지 | 2,000원 | 등록일 2022.03.03
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    이를 통해 디코더는 여러 개의 인버터(NOT 게이트)와 AND 게이트로 구성되어 있음을 알 수 있다.(4to 10 decoder 그림참조) 어떤 디코더들은2 ^{n}개보다 적은 수의 ... 또 비밀 대화 장치(스크램블러)를 사용한 전화 등에서 다른 형식으로 변형시켜 보내온 음성 신호를 원래의 형태로 되돌리는 것도 디코더이다.’4to 10 decoder디코더와는 반대로 ... 이러한 이유로 BCD코드를 8421코드라고도 부른다.(5) BCD to 7 segment Decoder세그먼트 디스플레이는 디지털 카운터와 시계 등에서 숫자를 표시할 때 자주 사용된다
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 컴퓨터 내부에서 사용하는 명령어 사이클의 4가지 단계에 대해서 비교 설명하시오
    명령어 해독 (Decode)3. 명령어 실행 (Execute)4. 명령어 쓰기 (Write-back)Ⅲ. 결론Ⅳ. 참고문헌Ⅰ. ... 명령어 해독 (Decode)명령어 해독 단계는 인출된 명령어를 해석하여 어떤 작업을 수행해야 하는지 결정하는 단계이다. ... 명령어 해독 단계는 CPU의 명령어 해독기(Decoder)에 의해 수행된다.명령어 해독 과정에서 명령어의 형식을 이해하는 것이 중요하다.
    방송통신대 | 5페이지 | 3,000원 | 등록일 2024.08.11
  • [A+] 중앙대학교 아날로그및디지털회로설계실습 10차 예비보고서
    Decoder는 진리표에서 확인되듯 7-segment의 입력단자로 0을 출력하는 common anode decoder 구조이므로 Common anode type 7-segment를 ... 실습 목적7-segment 와 Decoder를 이해하고 관련 회로를 설계한다.2. ... 2진수로 표현되는 디지털 회로의 출력은 10진수 방식을 사용하는 7-segment LED에 사용하기 위해 Decoder가 필요하다.
    리포트 | 9페이지 | 1,000원 | 등록일 2024.02.17
  • 서강대학교 디지털논리회로실험 9주차 - Memory Devices and dot/key-matrix interfaces
    소자를 연결해 큰 용량을 가진 하나의 메모리로써 사용할 수 있는데, 이를 Address decoding이라고 한다.Address decoding을 통해 설계된 메모리는 기본적으로 ... 연결한 여러 개의 메모리에 같은 address 입력을 넣고, 별개의 decoder를 이용해 하나의 소자에만 1의 CS 입력을 주는 방식으로 동작하게 된다. ... ● Address decoding앞에서 언급한 ROM, RAM과 같은 메모리 소자는 생산되면서 용량이 정해지는데, 이를 넘어가는 크기를 가진 데이터를 저장하기 위해 여러 개의
    리포트 | 22페이지 | 1,500원 | 등록일 2024.08.17
  • 아날로그 및 디지털 회로 설계실습 결과보고서12
    이때 Decoder와 7-segment사이에 저항(330Ω)을 달아 과전류를 방지한다. ... 또한 이론에 언급한 대로 decoder의 출력 방식과 7-segment LED의 type 간의 매칭에 유의한다. ... (B) (A)에서 생성된 Clock 신호를 BCD카운터(10진 카운터)에 연결 BCD카운터 출력 4bit을 BCD to 7-segment decoder(MC14511B)를 통해 7-
    리포트 | 7페이지 | 1,000원 | 등록일 2024.07.05
  • 충북대 디지털시스템설계 결과보고서5
    그리고 앞에서 cnt4에 따라 선택된 segment에 표시될 숫자를 정의한다.FND decoder codebin2seg 모듈은 입력된 10진 숫자들을 7-segment 출력에 맞게
    리포트 | 8페이지 | 1,500원 | 등록일 2022.02.12 | 수정일 2022.02.14
  • 시립대 전전설2 A+ 5주차 예비레포트
    _2Simulation실습 4decoder3to8.vtb_decoder3to8.vSimulation실습 5MMUX2to1.vSimulation3. ... 예상결과1) 실습 1decoder2to4.vtb_decoder2to4.vSimulation2) 실습 2encoder4to2.vtb_encoder4to2.vSimulation3) 실습 ... 3encoder4to2_2tb_encoder4to2_2Simulation4) 실습 4decoder3to8.vtb_decoder3to8.vSimulation5) 실습 5MUX2to1
    리포트 | 26페이지 | 2,000원 | 등록일 2024.09.08
  • [아날로그 및 디지털 회로 설계실습] 예비보고서10
    설계실습 계획서3.1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000*********** ... 목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.2. ... 아날로그 및 디지털 회로설계실습(실습10 예비보고서)소속전자전기공학부담당교수수업 시간학번성명예비 보고서설계실습 10. 7-segment / Decoder 회로 설계실습날짜2021.11.22
    리포트 | 5페이지 | 1,500원 | 등록일 2022.09.14
  • 2023년 2학기 방송통신대 프로그래밍언어론 중간과제물
    CPU는 명령어 인출 후 다음 단계로 진행하여 명령어를 실행하고 프로그램을 계속 진행합니다.② 명령어 해석 (Instruction Decode)명령어 해석 (Instruction Decode ... 적재된 프로그램의 기계어 명령어 하나를 컴퓨터의 CPU가 수행하는 과정에 대해 간략히 설명하시오.① 명령어 인출(Instruction Fetch)② 명령어 해독(Instruction Decode
    방송통신대 | 6페이지 | 9,000원 | 등록일 2023.10.02
  • 중앙대학교 아날로그및디지털회로 예비보고서10
    설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000******** ... ABCD00011110001001010000110010100010g = ABC + A’B’C’D’ + AB’C’D’ = ABC + B’C’D’10-3-3 7-Segment 구동 회로 설계Decoder
    리포트 | 4페이지 | 2,000원 | 등록일 2024.08.06
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:46 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대