• 통큰쿠폰이벤트-통합
  • 통합검색(4,299)
  • 리포트(3,723)
  • 자기소개서(518)
  • 시험자료(28)
  • 논문(18)
  • 방송통신대(5)
  • 이력서(3)
  • ppt테마(3)
  • 서식(1)

"전자회로 실험 및 설계1" 검색결과 261-280 / 4,299건

  • 서울대학교 일반대학원 전기정보공학부 연구계획서
    , 전력전자공학, 전기전자실험1,2, 제어공학, 회로망이론 등의 수업을 이수했습니다. ... 부호의 성능 설계 방법 연구 등을 하고 싶습니다.저는 또한 HandDiff: 이미지 포인트 클라우드에서 확산을 사용한 3D 손 포즈 추정 연구, Al-doped ZnO 박막의 ... 학부, 대학원 이수 전공 과목 중 관심과목저는 OOO대학교 전기전자공학부에서 무선통신시스템과IoT, 전력전자응용, 반도체공학실험, 공학수학, ICT개론, 고급파이썬프로그래밍, 전력시스템해석
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.05.28
  • 전기회로설계실습 중앙대 4. Thevenin 등가회로 설계
    Thevenin등가회로 설계전기회로설계실습(35792)1. 실험목적Thevenin 등가회로설계, 제작, 측정하여 원본 회로 이론값과 비교한다.2. ... 설계실습 계획서그림과 같이R_{ L}이 부하인 브리지회로의 Thevenin 등가회로를 이론 실험으로 구하고 비교하려고 한다.3.1 브리지회로에서R_{ L}에 걸리는 전압과R_{ ... 전기회로 설계실습예비보고서4. Thevenin등가회로 설계이 름 :학 번 :과 목 명 :내 용 :담당교수 :학 과 : 전자전기공학부제출일:설계실습계획서설계실습 4.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.05.21
  • 서울시립대 전자전기컴퓨터설계실험3 예비레포트 12주차
    follow 회로설계하고 입력에 대한 출력을 확인한다.실험 방법 예상 실험 결과(Simulation)다음은 실험에 사용한 q2n3904의 parameter 값이다..MODEL ... 전자전기컴퓨터설계실험312주차 결과보고서학과 : 전자전기컴퓨터공학부학번 :이름 :BJT Circuit (BJT voltage follow Circuit)실험 목표BJT Voltage ... 그래서 추가로 회로설계하지는 않았지만, 조건을 만족하지 못한다면 저항 값들을 적당히 조절하여 Mid-Band gain의 값을 낮춰줘야 할 것이다.[3-2] fL fH 값을 검증할
    리포트 | 9페이지 | 2,500원 | 등록일 2022.03.10
  • 공진회로(Resonant Circuit)와 대역여파기 설계 결과보고서
    설계실습 11. 공진회로(Resonant Circuit)와 대역여파기 설계0. 요약RLC 공진회로를 이용한 대역통과필터, 대역차단필터를 설계하고 주파수 특성을 측정하였다. ... 회로 설계시 고려하지 않은 이런 요소들로 인해서, 공진주파수 반전력 주파수측정에도 영향을 미쳐서 오차가 발생했다고 본다.2.2 RLC 병렬 Bandstop filter1) Q=1a ... 서론전자전기장비에 많이 응용되는 대역통과필터 대역차단필터의 주파수에 따른 출력 특성을 알아보도록 한다.
    리포트 | 4페이지 | 1,500원 | 등록일 2022.03.05
  • [중앙대 전기회로설계실습 7 결과보고서] RC회로의 시정수 측정회로 방법설계
    인덕터 RL회로의 과도응답(Transient Response)전자전기공학부 20194272 노수민요약 : 주어진 시정수를 갖는 RL회로설계하고 이를 측정하는 방법을 설계한다.사용계측기 ... 설계실습 결과2.1실험계획 1, 2, 3 을 참고하여 10㏀ 저항과 10mH 인덕터를 연결했다. ... 이번 설계실습에서는 RL 회로 분석을 통해 인덕터의 원리를 이해하고 RL 회로의 과도응답을 이해하기 위해➀ RL회로에서 저항, 인덕터의 전압파형을 측정한다.➁ 0.5V 사각파일 때
    리포트 | 6페이지 | 1,000원 | 등록일 2021.08.09
  • 전전설2 실험 1 예비보고서
    실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험 설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기 ... 실험1. TTL design9/1~9/8전자전기컴퓨터공학부 2019440019 김민지1. ... 회로 실험- 전가산기 회로 설계2.
    리포트 | 8페이지 | 1,000원 | 등록일 2023.11.17
  • 서울대학교 일반대학원 전기정보공학부 연구계획서
    학부, 대학원 이수 전공 과목 중 관심과목저는 OO대학교 전기전자공학부에 재학을 할 때 직류회로이론, 공학수학1,2, 공학문서작성, 기초회로실험, 교류회로이론, 양자전자공학기초, 물리전자공학 ... , 논리회로설계, 전자기학1,2, 통신공학, 데이터통신, 데이터베이스, 리눅스시스템보안, 디지털신호처리 등의 수업을 이수했습니다. ... 위상 지연 오차 영향 분석 연구, 희소 텐서 가속기 설계의 초기 단계 탐색을 위한 모델링 라이브러리 지원 연구 등을 하고 싶습니다.저는 또한 TRUST: 신뢰할 수 없는 코드로부터
    자기소개서 | 2페이지 | 3,800원 | 등록일 2024.02.08
  • 전자회로실험 설계 예비보고서1 C 측정회로 설계 Capacitance Measurement Circuit
    전자회로실험 설계 예비보고서1 C 측정회로 설계 Capacitance Measurement Circuit1. ... 설계 목적-Capacitor 측정 회로설계하고 실험 검토하여 10% 이내의 오차율로 얼마나 넓은 범위의 capacitor를 측정할 수 있는지를 확인한다.2. ... 시뮬레이션 결과설계 1)[ C 측정 실험 ] C = 1mF( 삼각파 발생회로를 사용한 Simulation )회로도출력 파형- 주기를 측정하면 20s가 나온다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.04.04
  • [물리1][세특][학생부][대입][수시] 물리1 세특 작성법 예문입니다. 예문을 다양하게 제시해 적용하기가 매우 쉽습니다. 본 예문은 입학사정관들이 보는 기준에 맞춰 작성된 예문이므로 세특 작성에 막막하신 분들이 보시면 큰 도움이 될 것입니다.
    전자공학의 정의와 역사에 대해 설명하고 전자공학에서 가장 대표적인 분야인 반도체 전자물리, 회로 VLSI, 정보 통신 시스템 분야, 광학 분야에 대해 간략하게 학우들에게 소개함 ... 또한 수업 시간에 항상 앞자리에 앉아서 수업에 대한 흥미와 적극성을 가장 많이 보이는 학생으로 인상적인 학생임.예문 15물리 실험 설계 조별 활동에서 자신이 가장 흥미롭게 공부한 1단원의 ... 조원과의 토의를 통해 실험에 필요한 기구와 실험 방법 예상되는 문제점과 해결 방법에 대해 제시함.
    리포트 | 7페이지 | 5,000원 | 등록일 2022.09.06
  • LPF와 HPF 설계 결과보고서 (보고서 점수 만점/A+)
    결론RC RL filter를 설계하고, 주파수 응답을 실험으로 확인하는 실험 목적에 따라 LPF와HPF를 설계하고, 주파수에 따른 응답을 관찰하였다.LPF RC 직렬회로 설계의 ... 1. 서론전자기기를 올바르게 동작시키기 위하여 상황에 맞는 적절한 주파수의 신호가 요구된다. ... 위의 그래프에서 파란색 그래프는 실험값, 주황색 그래프는 이론값을 나타낸다.
    리포트 | 9페이지 | 2,000원 | 등록일 2023.01.06
  • 실험2. 가산기 예비보고서
    실험방법 순서5.1 예비보고에서 설계한대로 7400계열의 NAND 게이트들을 연결하여 반가산기를 구현하라. ... 전자계산기가 발명된 당시에는 진공관에 의해서 구성되었고 현재는 집적 회로설계되어서 다양한 기능을 가지는 것이다. ... 실험 예비 보고(생략) 별도 첨부4. 실험기자재 부품4.1 사용기기■ 디지털 멀티미터■ 전원 공급기4.2 사용부품■ AND 게이트■ OR 게이트■ NAND 게이트■ 인버터5.
    리포트 | 5페이지 | 1,500원 | 등록일 2022.05.26
  • 시립대 전전설2 Velilog 결과리포트 3주차
    예상결과- Schematic을 이용하여 게이트를 직접 그려서 논리 회로설계하고 시뮬레이션 프로그래밍 했던 이전 실험과 달리 Verilog HDL 코드를 작성하여 실험을 하기 ... Verilog HDL 실습 3주차 결과 리포트Major전자전기컴퓨터공학부Subject전자전기컴퓨터설계실험2ProfessorStudent ID NumberNamesubmit date목록1 ... 주로 조합논리회로와 순차논리회로설계, 설계회로의 시뮬레이션을 위한 테스트 벤치의 작성에 사용된다.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.12.11
  • 오디오 믹서 회로 설계 [서강대학교 전자회로실험 Term Project]
    전자회로실험 Term Project 결과 보고서1. 제목 : 오디오 믹서 회로 설계2. ... 이용한 마이크와 AUX 입력 신호 증폭 mixing 회로 설계 3) BJT를 활용하여 스피커 구동을 위한 전력 증폭기 회로 설계3. ... 설계 목표 9번의 실험을 통해 학습한 내용과 회로를 응용하여 오디오 믹서 회로설계한다. 설계 목표는 구 체적으로 다음과 같다.
    리포트 | 13페이지 | 1,000원 | 등록일 2020.04.25
  • 서울시립대 전자전기컴퓨터설계실험3 예비레포트 10주차
    전자전기컴퓨터설계실험310주차 결과보고서학과 : 전자전기컴퓨터공학부학번 :이름 :MOSFET Circuit (MOSFET Amplifier Circuit)실험 목표NMOS 트랜지스터를 ... 사용한 Common-Source 증폭기 회로설계하고 입력에 대한 출력을 확인한다.실험 방법 예상 실험 결과(Simulation)다음은 실험에 사용한 2N7000의 parameter ... 으로 근사할 수 있으며 Equivalent Circuit에서 이다.결론 토의이번 실험은 NMOS를 이용하여 Common Source Amplifier를 설계하고 출력을 확인해보는
    리포트 | 14페이지 | 2,500원 | 등록일 2022.03.10
  • [전자공학응용실험] MOSFET 다단 증폭기 예비레포트
    나타내는 미터기로서 전기 회로의 가장 기본적인 전압, 전류, 저항을 측정하는 전자기기이다.오실로스코프일반적으로 전자 전기의 교류 신호를 측정하는 장비로, 시간에 따른 전압변화를 ... 또 RL이 10K옴인 경우와 결과를 비교하시오.PSpice 시뮬레이션 예비 보고 사항.실험회로 1의 전압 이득, 입력 임피던스, 출력 임피던스를 계산을 통해서 구하시오.입력 임피던스Rin ... 그 이유는 공통 소오스 증폭기의 출력 저항이 커서 작은 부하 저항과의 전압분배가 많이 되기 때문이다.실험 절차실험회로1에서 VDD=12V, Vsig=0V, RD1=10k옴, RS1=
    리포트 | 10페이지 | 1,000원 | 등록일 2023.01.11
  • 전자공학실험 20장 차동 증폭기 기초 실험 A+ 예비보고서
    유의해야 한다.4 실험 회로실험회로 1 : 정전류원 회로실험회로 2 : 차동 증폭기 회로5 실험 절차 예비 값1증폭기 설계를 위해서는 MOSFET M1, M2의 동작점을 ... 예비 보고서실험 20_차동 증폭기 기초 실험과 목 명:전자공학실험1 실험 개요-전력 증폭기(power amplifier)는 작은 입력 전력을 증폭하여 큰 출력 전력을 얻기 위해 사용되며 ... 이 실험에서는 BJT를 사용한 A, B, AB급 전력 증폭기의 기본 동작 원리와 전력 이득 효율을 살펴보고, 기본적인 측정을 통해 이를 검증하고자 한다.2 실험 기자재 부품-DC
    리포트 | 13페이지 | 1,500원 | 등록일 2024.05.13
  • 설계실습 4. Thevenin등가회로 설계 결과보고서
    Thevenin등가회로 설계결과 레포트전기회로 설계 실습 수요일 9,10,11,12교시조 조원실험날짜 월 일 제출날짜 월 일전자전기공학부요약: 이번 실험에서는 Thevenin 정리에 ... 이번실험에서는 이런 Thevenin정리를 회로에서 어떻게 활용하는지 알아보는 실험이다.설계실습 결과4.1 에 걸리는 전압은 0.325V이다. ... 오차의 원인은 DMM 내부저항이나 회로에 사용한 저항들의 표기된 값과 실제 값의 오차라고 생각한다.4.2(a) 실험계획 3.3의 방법으로 측정한 는 1.4024V이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.05.07 | 수정일 2020.09.08
  • 아날로그 디지털회로설계실습 실습7(논리함수와 게이트) 결과보고서
    중앙대학교 전자전기공학부, “아날로그 디지털 회로 설계 실습”, 이론 10 ... 설계 실습 내용 분석7-4-1설계한 논리게이트 구현 동작(A) LOW(0) 값HIGH(1) 값, Vcc를 각각 0V, 5V, 5V로 설정한다. ... 설계실습 7. 논리함수와 게이트요약 : 이번 실험은 논리 게이트 소자를 가지고 다른 논리 게이트 회로를 구성하고 값을 관찰하고 비교하는 실험이다.
    리포트 | 8페이지 | 1,000원 | 등록일 2020.09.24
  • 전자회로설계실습 8 예비보고서 MOSFET Current Mirror 설계
    전자회로설계 실습(11주차 예비보고서)소속전자전기공학부담당교수수업 시간학번성명예비 보고서설계실습 8. ... 실험 할 경우, VCC = 10 V로 고정한다면, RO를 어떻게 구할 것인지 설명하라.위에서 설계회로도에서 R1의 크기에 따라 VGS 값이 달라지고, IREF, IO 또한 달라진다.따라서 ... 목적N-Type을 이용하여 특정 Reference 전류가 흐를 수 있는 단일 Current Mirror와 Cascode Current Mirror를 설계 측정하여, current
    리포트 | 4페이지 | 1,000원 | 등록일 2022.03.29 | 수정일 2022.03.31
  • 2023 하반기 국가철도공단 자기소개서
    저는 전문성을 키우기 위해 대학생 때부터 회로 이론, 전자 회로, 통신이론, 전기 전자회로 실험 과목들을 수강해서 기본적인 전기 회로부터 심화한 회로의 구조 작동 원리를 배우며 ... 그 당시 온도와 습도를 측정하여 1시간 전, 2시간 전, 3시간 전의 불쾌지수를 표시해 주는 온습도계를 설계하였습니다. ... 회로 실험 때 팀 프로젝트를 진행하였을 때 있었습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2024.04.28
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:56 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대