• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(2,451)
  • 리포트(1,992)
  • 자기소개서(269)
  • 시험자료(81)
  • ppt테마(49)
  • 방송통신대(39)
  • 서식(10)
  • 이력서(6)
  • 논문(5)

"c# 프로젝트" 검색결과 261-280 / 2,451건

  • 수치해석 Newton-Rapson법 레포트 A+
    #NABLA x=- {f(x _{i} )} over {f prime (x _{i} )} ? ... -sin(2?))-(V/Vt)- df/d?=(1/π)X(1-cos(2?))- 초기치 x?=90[?] ... = 0```````x _{i=초기값}#f(x _{i} + NABLA x) APPROX f(x _{i} )+ NABLA xf prime (x _{i} )=0(:`i=0,1,2,3,..
    리포트 | 22페이지 | 19,900원 | 등록일 2022.01.11 | 수정일 2022.01.13
  • [2017]하반기 금호석유화학 IT운영직무 합격 자기소개서(합격 자소서)
    #극한의 공포를 이겨내다도전을 위해 분당에 있는 율동공원으로 무작정 향했고, 아파트 15층 높이와 맞먹는 45m 번지 점프대에 올랐습니다. ... #헬렌 켈러의 유산‘인생은 과감한 모험이던가 아니면 아무것도 아니다’는 교육자이자 사회 운동가인 헬렌 켈러의 말로, 고등학교 시절 독서실을 다니며 매일 같이 문 앞에서 봤던 문구입니다 ... 이러한 경험들은 제게 IT 신기술에 대한 흥미와 IT 트렌드를 읽는 능력을 심어줬습니다.C.
    자기소개서 | 4페이지 | 3,000원 | 등록일 2020.11.03
  • 아이센스_HW개발_합격자소서
    본인 성격의 장단점에 대하여 구체적인 사례를 들어 기술하세요. (500자)487/1000 (글자 수, 공백 포함)# 뚜렷한 목표의식수학과 진학 후, 순수학문을 공부하던 도중 수치해석 ... 이는 곧 설계 엔지니어라는 꿈의 발판이 되어 자신감 있는 인생을 계획하고 진취적으로 실현해가고 있습니다.# 약간의 급한 자세스마트알림약통으로 공모전 준비 중 Bluetooth가 고장나는 ... 저는 C코딩과 Layout 및 하드웨어 설계를 담당했습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2024.04.23
  • (미시경제학) 불확실성하 소비자선택이론의 응용 심화
    {pmatrix{P _{rR}&P _{fR}#P _{rF}&P _{fF}}} ``=`` {pmatrix{0.9&0.1#0.1&0.9}} : 확률P 밑 첨자로 소문자는 예측이고, 대문자는 ... : 사업가는 예측기관이 호황을 예측 시 프로젝트3에, 불황을 예측 시 프로젝트 1에 투자하여 기대효용V _{1}``=``EU_1=``0.5 TIMES sqrt {36} ``+``0.5 ... 오렌지를 생산할 때의 비용은C(q)``=``1000``+``q^2이다.
    리포트 | 5페이지 | 3,000원 | 등록일 2022.01.11
  • 4장 함수와 기억클래스
    b = b endl ; 19 }04 기억클래스와 변수 소스파일 3 개로 구성된 프로젝트 작성하기 새 프로젝트 생성04 기억클래스와 변수 소스입력 : ‘file1.cpp’ 01 #include ... 매개변수도 반환값도 없는 함수 작성하기 (04_01.cpp) 01 #include iostream 02 using namespace std; 03 void show() 04 { 05 ... 정적변수와 자동변수의 차이점 살펴보기 (04_07.cpp) 01 #include iostream 02 using namespace std; 03 void sub(); 04 void
    시험자료 | 32페이지 | 4,000원 | 등록일 2019.10.02
  • CJ올리브네트웍스 시스템프로그래머 합격자소서
    연구실에서 프로젝트를 진행하는 과정에서 C++과 C#, ILP(Integer Linear Programming)언어인 ASP(Answer Set Programming)과 CPLEX등을 ... [끊임없이 노력하는 인재]대학교 학부 시절 C, Java, 웹프로그래밍, DB 등 다양한 언어를 배우면서 개발에 대한 매력을 느꼈고, 좀 더 깊이 있는 공부를 하기 위해 대학원에 진학하였습니다 ... 이 프로젝트를 결과물을 이용해서 제 졸업 논물을 썼던 것도 의미 있는 한 이유가 될 수 있지만, 이 프로젝트를 통해 배운 것이 많았다고 생각하고 있어 저에게 가장 의미 있는 프로젝트
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.09.27
  • [경희대_경영학_경정시] 총 11회 분량_RWC_직접해석_파일
    RWC #1. ... 최근 프로젝트는 Boston.com 을 위한 블랙배리(BlackBerry)의 애플리케이션과 About.com 전문 사이트뿐만 아니라 새로운 광고 전시 컨셉트의 시제품도 포함한다.
    시험자료 | 5페이지 | 20,000원 | 등록일 2021.07.15
  • 논리회로 텀 프로젝트 기말과제
    논리회로 텀 프로젝트 #2* 4개의 2진 상태변수를 갖고 1개의 2진 출력변수를 갖는 디지털 시스템(순차회로)에 대한 명제를 1개 설정하고 다음 순서에 따라 구현 및 검증하시오.6월 ... `I` -> K`,`X`=`1`#``````K -> `K`,`X`=1`#``````K -> `K`,`X`=0#``````X = 0K 100X = 1K 100I 110 ... `A -> B`,`X`=`1``#``````B` -> `B`,`X`=0X = 1A 000B 0012.
    리포트 | 12페이지 | 1,500원 | 등록일 2021.08.17 | 수정일 2022.05.04
  • 정신간호학 영화 뷰티풀마인드 간호과정 및 소감문
    간호과정[간호진단]#1. 정신분열 증세와 관련된 사고 과정 장애#2. 망상적 사고와 관련된 불안#3. 잘못된 인식과 관련된 폭력 위험성#4. ... 부작용과 관련된 약물 복용 불이행간호진단#1. ... “객관적자료① schizophrenia 진단을 받았다.② 찰스, 윌리엄, 마시 등 인물이 있다고 하지만 현실에 존재하지 않는 허구의 인물이다.③ 비밀문서를 우체통에 넣어서 정보를 교류한다고
    리포트 | 5페이지 | 2,000원 | 등록일 2022.06.10
  • 명지대 화공개론 프로젝트 보고서
    }} (T-T _{ref} )- sum _{eqalign{i`n`put#streams}} ^{} dot{m} bar{C _{p}} (T-T _{ref} )= dot{Q}프로젝트에서 ... 반응기와 필요한 정보를 간단히 도식화한 그림이다.현열 가열에 대한 정상상태 에너지수지식은sum _{eqalign{out`put#streams}} ^{} dot{m} bar{C _{p ... bar{C _{p}} (T _{out} -T _{i`n} )] _{c`o`l`d} = dot{Q _{duty}}#dot{V _{c`o`l`d}} = {dot{Q _{duty}}}
    리포트 | 11페이지 | 1,000원 | 등록일 2020.07.05
  • 9주차-설계2 예비 - BJT 버퍼 증폭기 설계
    } +R _{E}} )#R _{i`n} ``=`R _{B} ```||`[r _{pi } +R _{E} (1+ beta )]#R _{out``} ``=`R _{C} ```||`R _{ ... } over {I _{B}}, 그리고 보통 BJT의 베이스-에미터 전압은 0.7V로 가정한다.V _{C} ``=`V _{CC} -R _{C`} ` TIMES I _{C}#V _{B} ... 전자회로실험Ⅰ교수님조교님설계 프로젝트 2. BJT 버퍼 증폭기 설계 - 예비보고서제출일 : 2016. 05. 13. 금요일설계제목 : BJT 버퍼 증폭기 설계1.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.10.02
  • 전자공학부 졸업작품 논문 (DC모터, 서보모터 제어 프로그램 코드 포함)
    프로그램#include #include #include #asm.equ __lcd_port=0x15 ;PORTC#endasm#include void int_AX12(void);void ... 단, 부분별 제작도 고려.소프트웨어 작성모터 제어 소프트웨어와 무선 통신 소프트웨어를 각자 맡아 진행함으로써, 효율적으로 프로젝트 진행.5. ... 0,1);sprintf(tt, " %s", ratio_name[ratio-1]);lcd_puts(tt);delay_ms(1000);ratio_select[ratio_count] =
    리포트 | 26페이지 | 5,000원 | 등록일 2020.07.26 | 수정일 2020.09.02
  • 가상현실 구현 테크놀로지- 가상현실을 통한 교육방식
    v=PMbtBpovGQs#action=share가상현실 구현 테크놀로지 중 하나인 증강현실 테크놀로지를 활용하여, 움직이는 사각형을 공으로 맞추며 아이들이 신나게 노는 장면이 인상적이다 ... 미국의 카네기 멜론 대학에서는(Carnegie Mellon University) 최신의 도시 건설 프로젝트와 설계 등에 VR을 이용하고 있고, 톨레도 대학(University of ... Toledo)의 경우 의학을 전공으로 하는 학생들이 가상현실을 활용하여 해부학을 학습하고 있다.퀘벡의 초등학교 체육 수업 장면의 영상을 가져왔다.http://www.youtube.com
    리포트 | 2페이지 | 2,500원 | 등록일 2022.05.17
  • 계명대학교 기계요소설계 프로젝트(베어링)
    등가 레이디얼 하중P _{r} =VXF _{r} `+`YF _{a``````} ``````X=`레이디얼계수#````````````````````````````````````````` ... ``````````````````````````````Y=`스러스트`계수#```````````````````````````````````````````````````````````` ... 이 프로젝트에 사용된 베어링은 내륜 회전 하중이다.2)프로젝트에 사용된 식?
    리포트 | 7페이지 | 1,000원 | 등록일 2020.11.22
  • [A+독후감] 민들레영토 희망 스토리
    #21. ... 때이다.(2) 고객을 위한 무대를 만들어라.(3) 고객은 주인공이다.(4) 먼저 직원에게 서비스하라.(5) 하루를 두 번 사는 디지털 전략Further reading list: N/A# ... And after making a decision to make a cafe, selling garakdok, selling clothes, collecting seed money,
    리포트 | 3페이지 | 1,000원 | 등록일 2022.12.04
  • 아두이노, 초음파센서 이용한 부저 동작
    설계 컨셉2) S/W 설계 : Source code3. ... 설계 컨셉 1) H/W : Block diagram 2) S/W : Algorithm, Source code 3. 결과 및 고찰목차1. ... 결과 및 고찰1m ~ 2.5m이하 : 약점등[그림 5] 물체 거리 1m 이하일 때 점등#거리 2.5m이상일 때 소등1m 이하 : 완전점등① PWM핀을 이용하여 LED밝기 변화 ② In-put
    리포트 | 8페이지 | 2,500원 | 등록일 2020.02.16
  • 게임회사 합격 서버 프로그래머의 자기소개서
    및 결과게임2 국내 런칭 및 서비스2015.03 ~ 2016.11 회사32015.03 ~ 2016.11 (게임3 프로젝트)사용언어 및 개발환경C++, C#, Visual Studio2008 ... Hyperlink "mailto:****@gmail.com" ****@gmail.comGitHub. ... 국내 런칭 및 서비스2016.04 ~ 2017.01 회사22016.04 ~ 2017.01 (게임3 프로젝트)사용언어 및 개발환경C++, Visual Studio2008, MySQL
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.11.13 | 수정일 2022.11.29
  • 팀의 효과성을 높이기 위해 어떤 보상체계를 갖추어야 하는지에 대해서 자신의 의견을 기술하세요.
    | 김성완 https://dbr.donga.com/article/view/1201/article_no/8582/ac/magazine#header[단독]‘응팔’ 종영 이틀 후 푸켓으로 ... 4박5일 포상 휴가 | 2015.12 | OSEN | 김범석 HYPERLINK "http://osen.mt.co.kr/article/G1110314471" http://osen.mt.co.kr ... 서론오늘 날 조직사회에서는 개인이 이뤄낼 수 있는 최대치보다 팀으로서 더 많은 일이라던지 큰 프로젝트 같은 것을 해낼 수 있기 때문에 팀의 효율성이 인정되어 다양한 유형의 팀 기반
    리포트 | 3페이지 | 2,000원 | 등록일 2023.03.28
  • 자율주행차
    self-driving-cars-101#:~:text=Self%2Ddriving%20vehicles%20are%20cars,navigate%2C%20and%20drive%20the% ... /definition/driverless-car#:~:text=Google's%20Waymo%20project%20is%20an,override%20the%20system%20when ... Retrieved from Hyperlink "https://www.synopsys.com/automotive/what-is-autonomous-car.html" https://www.synopsys.com
    리포트 | 3페이지 | 3,000원 | 등록일 2021.06.04 | 수정일 2022.04.18
  • [A+독후감] 사소한 것에 목숨 걸지 마라
    #26. 사소한 것에 목숨걸지 마라.(리처드 칼슨/도솔/2018.01.14) - 100일 33권 프로젝트 목록 중 No.29이 책을 다시 보게 되다니 감회가 새로웠다. ... So many people spend so much of their life energy “sweating the small stuff” that they completely lose ... We focus on little problems and concerns and blow them way out of proportion…..
    리포트 | 2페이지 | 1,000원 | 등록일 2022.12.04
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:37 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대