• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,419)
  • 리포트(3,944)
  • 시험자료(227)
  • 논문(95)
  • 자기소개서(65)
  • ppt테마(50)
  • 방송통신대(26)
  • 서식(10)
  • 이력서(2)

바로가기

cti 독후감 - cti 관련 독후감 14건 제공

"cti" 검색결과 261-280 / 4,419건

  • [통신공학실습] 8주차 결과레포트
    /word/index.php" http://www.ktword.co.kr/word/index.php (2019.11.17)김성운. ... 적절한 Frequency deviation δ과 modulation index m을 얻을 수 있다는 사실을 확인하였다.참고 자료 Hyperlink "http://www.ktword.co.kr ... `학과전자전기컴퓨터공학부학번이름목차실험 목적배경 이론실험예비보고Tina-TI로 예측한 실험결과실험에 필요한 장비 및 소자 목록참고 자료실험 목적한 개의 IC와 몇 개의 소자를 이용하여
    리포트 | 15페이지 | 1,500원 | 등록일 2020.02.14
  • 고상합성법에 의한 BaTiO3 제조와 평가 (XRD 유전율 SEM)
    해당 시료에서 Ba와 Ti의 비율은 1:1.005 비율이다. 시료를 알루미나 볼과 함께 플라스틱 실린더에 담고 Ethanol을 첨가하여 2 hour 밀링하였다. ... 이러한 경향성은 XRD 분석으로 확인할 수 있다.완성된 BTO에서 Ti 원소의 비율이 Ba 원소에 비해 1.0 이하의 비율로 첨가될 경우에지며, 볼의 크기가 너무 작으면 운동 에너지가 ... 이 값들을 Equation 2.에 대입하여 각각의 값들을 Fig.4 a) b) c) d)로 Plotting
    리포트 | 20페이지 | 3,000원 | 등록일 2023.06.20
  • 전도 열전달에 관한 matlab 경북대 과제
    블록의 초기온도는 Ti=30oC이고, 시간 t = 0초 일 때 외부에 노출되어 있는 블록의 두 변에 가해지는 온도는 Ts1과 Ts2이다. ... Transient 2D conduction아래 그림과 같이 한 변의 길이가 10 mm인 정사각형 알루미늄 블록의 두 변은 단열되어 있고, 두 변은 외부에 노출되어 있다. ... MATLAB 프로그램 소스 (알루미늄으로 하였을 때)%초기화clear all;%블록의 조건L = 10; %블록 한 변의 길이T_upper = 100; %윗면의 온도T_lower =
    리포트 | 5페이지 | 2,000원 | 등록일 2020.05.10
  • [A+] 아동간호학 신생아 호흡곤란 증후군, RDS 케이스/ 간호과정 3개
    처방에 따라 surfacten 120mg/kg TI로 투여 후 100% 산소를 공급했고 부작용이 없는지 확인했다.6. ... 호흡양상간호사정주관적 자료(subjective data)객관적 자료(objective data)-- 호흡수 80회/분- 흉부 견축- 호흡보조근 사용이 보임- Surfacten 120mg/kg TI ... , 개방성 및 위치확인, 배액관이 꼬이지 않았는지 확인하기, 장기간 사용 시 피부손상 위험으로 피부상태 확인 등oral-15ccL-tube20cc 섭취4/24-4/25CPAP환자가
    리포트 | 10페이지 | 3,500원 | 등록일 2023.02.03 | 수정일 2023.02.07
  • 최스미 외, 알기쉬운 핵심약리학, 수문사, 중간고사 요약본
    투여한 집단의 50%의 개체에서 효과가 발현되는 용량 (3) TD50(반수독성량, 독성용량): 약물을 투여한 집단의 50%의 개체에서 독성작용을 일으킬 수 있는 용량 (4) TI ... - 임상적으로 최소 유효 치료농도 또는 용량과 최소 독성농도 또는 용량 사이의 투여용량 범위를 의미 - 계수가 클수록 약물의 안정성이 높음(약물 안전성에 대한 측정치) - C, ... → D약물은 한알을 먹으면 효과가 있음, 100개를 먹으면 부작용이 있고, 200개를 먹으면 죽음 → C약물은 10개를 먹으면 효과, 12개를 먹으면 독작용, 13개를 먹으면 죽음
    시험자료 | 27페이지 | 3,600원 | 등록일 2022.07.17 | 수정일 2022.07.18
  • 한국방송통신대-2020과제-통계로세상읽기
    .* 참고 : 통계의 창(sti.kostat.go.kr/window) – 인구통계로 본 대한민국 인구의 미래** 미래한국(www.futurekorea.co.kr) – 코로나보다 더 ... 상황이므로 *확률의 곱셈정리에 의하여 각 상황을 곱하는 식을 세워 보면,이고, 이 식을 계산하면 로또 1등에 당첨될 확률은814만 5,060분의 1이 된다.또한 이를 확률 수식으로 45C6
    방송통신대 | 5페이지 | 5,000원 | 등록일 2021.11.26
  • 미생물학 Ch.10 유전공학이란 무엇인가
    역전사효소이용하여 mRNA에서 cDNA합성2. PCR이용하여 증폭3. ... GMO), 형질전환생물 : 외부 유전자 도입해 만들어진 재조합 생물▷ 형질전환 식물- Agarobacterium tumefaciens : 토양에 살며 상처 난 식물 조직에 침법, Ti-플라스미드 ... 삽입, 감염된 식물세포의 유전체에 삽입되어 형질전환/ 형질전환 유도 요인 : T-DNA(Ti 플라스미드 별도 부위) / 세균 공급 양분, 식물 성장 촉진 호르몬 합성 유도- Agarobacterium에
    리포트 | 5페이지 | 1,000원 | 등록일 2022.02.21
  • pem 수전해 원리, 연구동향
    National University 1 9 다공성 확산층 - ptl porous 음극의 경우 카본 , 양극의 경 연구 - ptl 에 이리듐을 코팅하여 성능을 높이는 연구 - ptl-Ti ... 기존의 담지 촉매에 비해 훨씬 우수한 질량활성도 즉 이리듐 사용량이 감소하였다 . - Ti 의 부도체 특성을 극복하기 위한 연구 전도성 산화물 - Indoped SnO2 , Sb-doped ... 따라서 2~4mg/cm^2 정도로 음극의 10 배 가량 귀금속을 사용하게 됨01.
    리포트 | 27페이지 | 3,000원 | 등록일 2022.11.09 | 수정일 2023.03.13
  • 핵의학 정리 검사목적등
    암 전이 유무능동이동I갑상샘 호르몬을 검사전 2주간 섭취 금지I-1311~5mCi (경구)갑상샘조직이 남아있는 곳, 전이된 곳은 열소(양성)Parathyroid부갑상샘 검사능동이동TI는 ... 모름(TI-201,Tc-99mO4)(Tc-99mO4,Tc-99mMIBI)(Tc-99mMIBI)2mCi, 5mCi5mCi, 20mCi20mCiMIBG SCAN(부신 신티그램)부신 검사능동이동루골 ... 분석EF-Curve구획구분Tc-99m-RBC (IV)TC-99m-HSA (IV)LAO 45도 촬영*Myocardium SPECT(심근관류 검사)심근혈류평가심근허혈 진단관상동맥 진단이온교환(TI
    시험자료 | 7페이지 | 5,000원 | 등록일 2020.03.14 | 수정일 2021.10.02
  • 상까라의 불이일원론(不二一元論)에 나타난 웨단따 학파의 인식 개념
    ti ca yujyate지성에는 인식이 없고, 아뜨만에는 행위가 없다. ... ca bh?te?u samo ’smi kevalo yath? ca kha? sarvagam ak?ara? ?ivam(V08.003cd) nirantata? ni? ... (V18.054ab) na buddher avabodho ’sti n?tmano vidyate kriy?(V18.054cd) ato n?nyatarasy?pi j?n?t?
    리포트 | 19페이지 | 10,000원 | 등록일 2023.01.05
  • (2021 최신) 회로실험 레포트 Capacitor 및 Inductor의 특성(교류 회로)
    스코프, CRO(cathode-ray oscilloscope), DSO(digital storage oscilloscope) 등으로 알려진 오실로스코프는 다양한 전압신호를 지속적으로 ... 참고 문헌(Reference)Introduction to Electric Circuit 9th Edition, 회로이론 [9판]- Richard C.Dorf / James A. ... 처음 시각을 ti=0 ,그때 축전기에 들어있던 전하량을 Qi, 축전기가 가득 충전되었을 때 축전기의 전하량을 Q0 라 하면 위 식은 아래와 같이 바꿔 쓸 수 있다.따라서 여기서의 시정수
    리포트 | 7페이지 | 1,500원 | 등록일 2021.10.27
  • 방송통신대학교 2023년 1학기 컴퓨터의 이해 중간과제물
    이는 1958년 미국 TI社의 기술자, 잭 킬비(Jack Kilby)에 의해 여러 개의 전자부품들(트랜지스터, 저항, 캐패시터)을 한 개의 작은 반도체 속에 집어 넣는 방법을 발명한 ... 것부터 발전이 시작되었는데 이를 집적회로(IC)라고 불리게 되었으며 기술이 발전함에 따라 하나의 반도체에 들어가는 회로의 집적도도 SSI(Small scale Integration
    방송통신대 | 9페이지 | 4,000원 | 등록일 2023.03.31
  • A+보장, 생화학 MTS TEST, MTS ASSAY 결과레포트
    following treatment is calculated from [(Ti-Tz)/Tz] x 100 = -50. ... cytotoxic) agents.- Growth inhibition of 50% (GI50) is calculated from [(Ti-Tz)/(C-Tz)] x 100 = 50, ... /PMC3876666/http://www.cancernetwork.com/oncolin의 작용 기전독소루비신은 악성 림프종, 백혈병, 연조직 육종 및 여러 암종에서 광범위하게 항종양
    리포트 | 7페이지 | 1,500원 | 등록일 2019.12.25
  • 화학반응속도상수의 온도의존성
    산성 용액이기 때문에 모든 과산화수소용액이 그대로 멈춘다.[2]24% Ti(SO4)2 26.7 mL 와 conc. H2SO4 166.7ml를 섞는다. ... (용액 0.3mL + 증류수 5mL)위의 희석액 1mL와 Ti(SO4)2 용액 3.5mL를 섞은 후 405nm의 파장에서 시간대별로 흡광도를 측정한다.※ 흡광계수 구하기0분일 때의 ... The concentration change can be seen after the reaction of hydrogen peroxide, which had a concentration
    리포트 | 15페이지 | 1,500원 | 등록일 2020.07.29
  • 이중관 열교환기 결과레포트 A+ 만점
    아래와 같은 식을 사용한다.q _{T} `=`U _{o} A _{o} ( bar{TRIANGLE T _{L}} )=U _{i} A _{Ti} ( bar{TRIANGLE T _{L}} ... LMTD 계산 값)5) 총괄 열전달 계수 계산q _{T} `=`U _{o} A _{o} ( bar{TRIANGLE T _{L}} )=U _{i} A _{Ti} ( bar{TRIANGLE ... )= dot{m _{c}} C _{pc} (T _{cb} -T _{ca} )#dot{m _{s}} `:`온수의`유속(gallon/min)#dot{m _{w}} `:`냉각수의`유속(
    리포트 | 11페이지 | 1,500원 | 등록일 2020.11.04 | 수정일 2020.11.05
  • 바이오소재 ) 특정 바이오소재와 응용분야를 조사하여 소개하는 리포트
    [과거의 잔재_stainless steel]Fe, Ni, Cr, C, Mo의 합금으로서 각각의 금속 및 비금속 원소가 역할을 다하며, 강도와 부식성을 신체에 올바르게 하여 만들어졌던 ... 인공 뼈의 한계점골 대체물의 역사에 등장하는 Stainless steel과 Co-Cr 합금은 신체가 그것에 적응할 수 없기에 질환이 발생하게 되었고, Ti alloy와 같은 소재는 ... [과거의 잔재_Ti 합금]우수한 기계적 강도와 신체 내에서 부식이 일어나지 않아, 많은 부위에 대체하는 방식으로 사용되었으나, 세라믹보다 전단 강도가 낮고, 신체적 적합도 또한 세라믹에
    리포트 | 7페이지 | 5,000원 | 등록일 2023.01.25
  • 동절기 공사 시공계획서 (골조)
    ) 환기회수 회 / h 체적 V(m2) 실내온도 TI 실외온도 TO 표면보온재온도 Tx=5c 전체열저항 R=0.654 실내에서 보온재까지 열저항 R=0.404 손실열량 Q=k*A*( ... 구분 콘크리트 압축강도 비고 기초 , 기둥 및 벽체 4.9N/mm2 (50 kgf /cm2) 슬라브 , 보 밑 설계기준강도 x 2/3 다만 , 140kgf/cm2 이상 되메우기 구간 ... TI-TO)Kcal/h 할증율 20% 갈탄난로대수 9000Kcal/kg/h 벽면의 천막을 통한손실 (Q1) 4.000 1,613.2 18.25 15.55 -2.70 5.00 0.654
    리포트 | 54페이지 | 3,000원 | 등록일 2021.07.03 | 수정일 2022.11.09
  • 흡인성 폐렴 질환레포트 + 간호과정 2개 (평가까지) - 비효과적 기도청결, 피부통합성 장애
    (양쪽 폐 침윤)*Vent care (10/4) (w. endo-tracheal tube)ModeACMVPi (cmH2O)20FiO20.4FR (회)20Ti (sec)1.00I:E ... )20FiO20.4FR (회)20Ti (sec)1.00I:E ratio1:2.00PEEP (cmH2O)10*교육적 수행① (10/5 수행함) 보호자에게 기침과 심호흡 방법을 교육하였다 ... 존재함- coccyx 10*10 G2 (폭 : 5cm)- 삼출물 없음- 조직유형 : 진피(분홍) - II단계*Braden Scale : 9점항목점수감각인지1 - 완전제한습기 정도4
    리포트 | 11페이지 | 1,500원 | 등록일 2022.10.12 | 수정일 2022.12.01
  • 반응열과 헤스의 법칙_일반화학레포트
    -계의 온도를 처음온도(Ti)에서 최종온도(Tf)로 올리는데 필요한 열량(q)은q = c * m *(Tf - Ti) = c * m * ΔT 이다. ... docId=1247288&cid=40942&categoryId=32251 ... 여기서 c 는 비열, m은 무게를 나타낸다. 따라서 계의 열용량은 다음과 같이 표현할 수 있다. C = q/ΔT 이다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.03
  • 세계를 바꾼 음식
    먼저, ‘콜캐논(colcannon)’이다. ... 명절에도 즐겨먹은 음식으로 기근의 상징인 붉은 마녀의 죽음을 기리면서 이 음식을 먹었다고 한다.둘째로, 박스티(boxty)라는 음식이 있다. bacstai 또는 aran bocht ti라고도
    리포트 | 1페이지 | 1,000원 | 등록일 2020.05.05 | 수정일 2020.10.28
AI 챗봇
2024년 08월 30일 금요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:17 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대