• 통큰쿠폰이벤트-통합
  • 통합검색(14,153)
  • 리포트(12,896)
  • 시험자료(792)
  • 방송통신대(224)
  • 자기소개서(118)
  • 논문(76)
  • 서식(28)
  • ppt테마(8)
  • 노하우(8)
  • 이력서(2)
  • 기업보고서(1)

"8bit" 검색결과 281-300 / 14,153건

  • Substitution-Permutation Networks(SPNs)&Attacks on reduced-round SPNs
    mixing - 입력 값과 서브키를 XOR 연산 Substitution( 대입 ) - 입력 값을 S- 박스를 통해서 값을 치환 Mixing Permutation( 혼합 순열 ) - 비트의 ... 8 bits 8 bits 8 bits 8 bits 8 bits 8 bits 8 bits 8 bits 8 bits 8 bits 8 bits 64 bit intermediate ... 순서를 재정렬 64 bit output 64 bit input 64 bit intermediate 64 bit subkey 8 bits 8 bits 8 bits 8 bits 8 bits
    리포트 | 19페이지 | 10,000원 | 등록일 2020.04.12
  • 디지털시스템설계 hw7
    최대 8개의 1이 나올 수 있기 때문에 4bit로 선언해주었다. ... 최대 8개의 1이 나올 수 있기 때문에 4bit로 선언해주었다. ... 몇 개의 1이 있는지 세는 코드이다. input값인 8bit innum을 while문을 활용해 0번째 자리부터 7번째 자리까지 1이 있으면 output값인 4bit outnum_1을
    리포트 | 12페이지 | 1,000원 | 등록일 2021.01.07
  • 컴구조 5장 mod-4 4번부터
    ↓레지스터IR6bits 14bits 6bits 14bits = 40bitsOpcode1Address1Opcode2Address21. ... →(a) 932E(b) ADD니까 AC= 7EC3 DR = 8B9FAC+DR = 0A62 , E = 1(C)AC= 0A62DR = 8B9FAR = 9ACPC = 3B0IR = 932EE ... 명령어 형식은 6비트의 연산 코드와 14비트의 주소 부분으로 구성되어 있으며, 간접모드 비트는 없다.따라서 두 개의 명령어가 하나의 메모리 워드에 들어 있고, 제어 장치에는 40비트
    리포트 | 2페이지 | 1,000원 | 등록일 2021.06.01
  • 한성대학교 오XX 교수 컴퓨터 구조 토이컴(ToyCom)과제2
    - ToyCom 구조명령어: 16bit데이터: 8bit프로그램 주소: 16bitbusHbus(파랑): 내부 bus중 상위 byteLbus(초록): 내부 bus중 하위 byteAbus ... – 8bitMAR – 16bitMBR – 8bit제어장치(control unit)명령어 사이클 코드(ICC): 하나의 명령어에서 단계 수를 카운트시퀀스 카운터(SCNT): micro-operation의 ... 64kBytestackRegisterPC[PCH:PCL] - 16bitIR[IRH:IRL] – 16bitSP – 16bitGeneral purpose register: R0 ~ R7 – 8bitSR
    시험자료 | 14페이지 | 3,000원 | 등록일 2023.11.27
  • 기초전자회로및실험2 -ALUs(Arithmetic logic units)를 이용한 n-bit 계산기 설계
    이를 4bit adder(74283) 2 개를 이용하여 구현한 8bit BCD to Binary 를 통해 binary 로 변환시켜 2 진수 표현 입력 스위치에는 풀업 저항을 사용PSPICE ... 출 력 2bit 출력 to 7Segment 연산 출력값 2bit (6bit) 74185 ( Binary to BCD converter ) 7447 (BCD to7segment) BCD ... units) 를 이용한 n-bit 계산기 설계설계 이론 2 1.
    리포트 | 15페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • multiplexer(멀티플렉서) VHDL 실습보고서
    (mux8_i)을 구성하고, 3비트의 selection 비트(mux8_s), 그리고 출력값 1비트(mux8_o)를 구성합니다. architecture부분에서는 2-1multiplexer을 ... 결국, 큰 그림으로 보면 selection의 3비트가 나타낼 수 있는 경우의 수가 8개 이기 때문에, 8개의 input중에서 원하는 값을 selection 비트로 나타낼 수 있게 되는 ... 그리고 그 출력값은 mux2_o으로 나오게 됩니다.1-2)8-1multiplexer그림 7. 8-1multiplexer 코드8-1multiplexer 모듈입니다. 8비트의 input
    리포트 | 12페이지 | 2,000원 | 등록일 2020.12.20 | 수정일 2020.12.27
  • 컴퓨터과학개론 출석대체물용
    문자표현 가능확장된 ASCII코드-기존 ASCII코드에 1비트 추가- 8비트 사용하여 256개의 서로 다른 문자표현 가능유니코드- 세계 모든 문자를 일관되게 표현하는 산업 표준- ... )개의 서로 다른 문자표현 가능EBCDIC코드- IBM 메인프레임에서만 사용- 8비트 코드 체계로 실제 127개의 문자 코드 사용19. ... 0.8C16위와 같이 0.438은 다른 값을 나타낸다.18.
    방송통신대 | 18페이지 | 10,000원 | 등록일 2020.11.20
  • [마이크로컨트롤러]9th_AD변환기
    사용 (8) AD 변환 시작 명령 : ADCSRA 레지스터 ADSC 비트 ADC 인터럽트 사용 시 주의 사항 프리 러닝 AD 변환일 때 , 13 개 ADC 클럭사이클마다 인터럽트 발생 ... → 디지털 출력의 1 비트에 대응하는 아날로그 입력의 변화량 (1 스텝의 아날로그 전압의 최소 단위 ) V REF = 5[V] V STEP = V REF /2 2 비트 = 5/4 = ... 1.25[V] V REF = 5[V] V STEP = V REF /2 4 비트 = 5/16 = 0.3125[V] V REF = 5[V] V STEP = V REF /2 10 비트
    리포트 | 20페이지 | 1,500원 | 등록일 2022.10.10
  • Term_Project_보고서_1조
    처음 회로를 설계 하였을 때는 두 번째 일의자리 bcd adder 중 두 번째 full adder에서 나온 c4가 십의자리 c0에 들어가게 설계를 하였지만 8+8이나 9+9는 보상회로를 ... 2)덧셈5비트의 입력을 받아 덧셈 기능을 하는 회로를 구현하였다. ... 검토 및 고찰기능성편리성집적도구현 목표덧셈, 뺄셈10진수 입/출력5비트 입력제작 결과덧셈10진수 입/출력5비트 입력이번 텀 프로젝트에서는 ALUs (Arithmetic logic units
    리포트 | 7페이지 | 1,000원 | 등록일 2023.06.22 | 수정일 2023.06.25
  • [서울시립대] 전자전기컴퓨터설계실험2 / Lab03(결과) / 2021년도(대면) / A+
    비트단위 연산자 사용Source codeTestbenchPin testbench 시뮬레이션 결과 설계한 4-bit 데이터 XOR 게이트의 동작을 확인하는 모습- 실험 결과: 입력은 ... A(BUS SW1~4), B(Bus SW5~8) / 출력은 Y(LED1~4)ABY001101010110(6) [응용과제] 다음의 1-bit full adder 회로를 gate primitive ... A(BUS SW1~4), B(Bus SW5~8) / 출력은 Y(LED1~4)ABY001101010110b.
    리포트 | 19페이지 | 2,000원 | 등록일 2022.07.16
  • 디지털공학 레포트(음수, 양수, 비트변환)
    (단, bit는 8비트를 사용한다.) ... 디지털 공학 리포트제 출 일 :과 목 명 : 디지털 공학담당교수 :학 과 :학 번 :이 름 :목차1. 8비트(bit)로 세 가지 방식을 표현 (음수 표현) 32. 2의 보수방식(2’ ... s Complement Method)으로 연산(덧셈) 51) 양수 + 양수 52) 양수 + 음수 63) 음수 + 음수 84) 음수 + 양수 101. 8비트(bit)로 세 가지 방식을
    리포트 | 11페이지 | 2,000원 | 등록일 2022.07.20
  • 마이크로프로세서의 발전과정 및 최신동향
    한편 모토롤라사의 6800과 6809, 모스텍사의 6502 등도 널리 사용된 8비트 마이크로프로세서였다.1970년대 후반부터 16비트 마이크로프로세서가 등장하였다. ... 컴퓨터의 CPU로 매우 널리 사용되었으며, 자일로그사에서 8080을 개량하여 만든 Z80은 오늘날까지도 많이 사용되는 8비트 마이크로프로세서가 되었다. ... 그러나 마이크로프로세서가 오늘날처럼 대중적으로 사용되기 시작한 것은 인텔사의 8비트 마이크로프로세서인 8080이 나온 후부터이다. 8080은 특히 CP/M 운영체제의 등장과 함께 개인용
    방송통신대 | 5페이지 | 3,000원 | 등록일 2020.01.14
  • 결과보고서4_디지털통신2_Block Coding and Decoding
    형태를 가지는 8비트의 프레임을 입력으로 받고 8비트의 코드워드 프레임이 출력된다. ... 추가하면 1bit 오류는 정확히 고칠 수 있다. 2bit 오류는 어느 비트가 오류인지 정확히 알 수 있다. ... 선택된 선형 코드에 의해 만들어진 점검 비트가 미리 결정된 필드에 삽입된다. 인코더는 8비트의 일정한 프레임 길이를 유지한다.
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 아두이노 LIGHT센서+ULTRASONIC 센서 예비레포트
    8, parity None, stop bit 1//UART 0을 전송속고 115200, 데이터 비트8, 패리티 없음, 스탑 비트1로 설정Serial.begin(115200); // ... (115200); // same Serial.begin(115200, SERIAL_8N1)/UART 0을 전송속고 115200, 데이터 비트8, 패리티 없음, 스탑 비트1로 설정// ... bit 8, parity None, stop bit 1Serial.begin(115200); // same Serial.begin(115200, SERIAL_8N1)// Out pin
    리포트 | 7페이지 | 1,500원 | 등록일 2021.12.28
  • 디지털논리회로 나눗셈기 설계 보고서
    임의의 숫자를 만드는 것이 아닌 데이터를 보내주는 쪽을 기반으로 하여금 이진법 11000101 (8-bit) 와 1010 (4-bit)의 나눔으로써 다음 그림과 같음을 알 수 있다.위와 ... Z 레지스터를 왼쪽으로 1 비트 이동하고 시프트 연산은 Z 레지스터의 LSB를 비운다. ... 같은 접근법으로 나눗셈기를 보았을 때, 나누기 알고리즘은 적절한 피제수 비트에서 제수 (1 or 0 곱하기)를 반복적으로 뺍니다.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.05.18
  • 디지털공학개론(1. 카운터의 응용으로 디지털 시계의 회로도를 완성해 가는 과정 설명/ 2.4가지 기본형 레지스터의 분류에 속하는 IC들 정리)
    병렬출력74164(8Bit Parallel Output Serial Shift Registers)1) 8개의 S -R 플립플롭으로 구성된 직렬입력 - 병렬출력 레지스터2) CLR = ... CPU 내부에서 연산의 중간 결과를 임시 저장하는 경우나 어떤 2진수의 보수를 구한다든지, 곱셈 또는 나눗셈을 하는 경우에도 사용레지스터의 종류▶직렬입력 - 직렬출력7491(8Bit ... 0이면, 모든 레지스터의 출력이 Clear3) CLR = 1이면, 정상동작→ 클록의 상승 에지마다 입력 단자로 들어온 직렬 데이터가 시프트하여 저장▶병렬입력 - 직렬출력74164(8Bit
    리포트 | 8페이지 | 2,500원 | 등록일 2023.01.17 | 수정일 2024.05.14
  • 디지털 논리회로 실험 및 설계 4주차 예비보고서
    부호기는 출력값이 입력값()에 대한 그 비트값()이지만, 멀티플렉서는 그 비트값()의 입력값()이 출력값()이다.1.2 4-to-1 Multiplexer 74153, 2-to-1 Multiplexer ... to-1 멀티플렉서로 동작하는 원리를 자세히 설명하시오., , 를 각 bit라고 생각했을 때, 가 0이면 3bit의 수가 3이하 이므로 위쪽 4:1Mux에서 중에서 , 에 의해 입력값의 ... 생각했을 때, 가 0이면 3bit의 수가 3이하 이므로 위쪽 4:!
    리포트 | 5페이지 | 2,000원 | 등록일 2023.01.31
  • 데이터통신과 네트워킹 6장 응용연습,심화문제 (짝수)
    50,000frames/s × 14bit = 700kbps 또는 2 × 200 + 2 × 150 = 700 kbpsP-4 매 초 각각 500개의 8비트 문자를 생성하는 14개의 발신지가 ... (64 bits/s) / 4 bits = 16 cyclesP-8 그림 6.23의 디지털 계층에 대해 다음 질문에 답하라.a. DS-1의 오버헤드는? 1.544 Mbps ? ... 500frames/s × 72bit/frame = 36kbpsP-6 어느 FHSS 시스템이 4비트 PN 순열을 사용한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.13
  • EBCDIC 코드사용, 10진수 –1268를 Zoned Decimal로 표현
    구성Zone Bit 4개, Digit Bit 4개가 1조로 하여 검사비트 제외, 8Bit로 구성되어 있다. ... 구성됨ASCII 코드(미국 표준) : 7비트로 구성됨EBCDIC 코드(확장 2진화 10진) : 8비트로 구성됨2. ... [EBCDIC의 구성]EBCDIC 코드는 Zone Bit, Digit 비트가 모두 4비트 씩이므로, 16진수 2자리로 나타내면 편리하다.②.
    리포트 | 5페이지 | 8,000원 | 등록일 2020.07.01 | 수정일 2021.05.11
  • 충북대 기초회로실험 4-비트 산술논리회로 결과
    한 다음 심볼화 하라.(8) 실험 4와 실험 5 및 실험 7에서 설계된 심볼을 이용하여 의 4비트 산술논리회로를 그리고 시뮬레이션을 한 다음 심볼화 하라. ... BXOR111xF =bar{B} 보수비고 및 고찰이번 실험에서는 Pspice를 이용하여 몇 가지 회로를 설계해 보고, 최종적으로 4-bit 산술논리회로를 설계하여 시뮬레이션을 해 보는 ... 먼저 1 bit Full adder와 2x1 multiplexer, 4x1 multiplexer를 만들었는데 처음 사용해보는 프로그램이라 사용이 미숙하여 시간이 좀 오래 걸리긴 했지만
    리포트 | 5페이지 | 1,000원 | 등록일 2021.09.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 19일 목요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:03 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대