• 통큰쿠폰이벤트-통합
  • 통합검색(391)
  • 리포트(386)
  • 자기소개서(2)
  • 논문(1)
  • 시험자료(1)
  • 방송통신대(1)

"D Flip-Flop 설계" 검색결과 281-300 / 391건

  • [전자회로실험] 래치와 플립플롭 예비레포트
    설계실습 계획서(1) JK Master/Slave 플립플롭의 1‘s catching에 대해 조사하라.- 펄스-구동 Flip Flop 의 1’s catching 문제는 펄스-구동 Flip ... 여기서 한번 set되면 Flip Flop이 reset되기 전 까지는 처음 set된 상태로 남게 된다.따라서 이와 같은 글리치 현상이 생긴다.(2) TTL 74LS73 JK 플립플롭, ... 아날로그 및 디지털 회로 설계 실습9장 래치와 플립플롭예비report제출일 :담당 교수님 :학번 :이름 :설계실습 9. 래치와 플립플롭1.
    리포트 | 6페이지 | 1,000원 | 등록일 2008.11.21
  • [발표자료] 디지털시계 설계
    디지털 시계 제작- 목 차 - 1. 설계목표 2. 전체 시스템 개요 3. Counter 4. Flip Flop 5. 블록별 회로설계 6. Timing Diagram 7. ... 설계 후기1. 설계 목표 수업시간에 배운 Flip Flop, MODn 진카운터를 이용하여 시간 , 분 , 초와 오전 오후 까지 표시되는 디지털 시계를 제작한다 . ... 블록별 회로설계 - 분의 자리 구성 (1 의자리 )- D C B A D` C` B` A` D D D C D B D A 0 0 0 0 0 0 0 1 0 0 0 1 0 0 0 1 0 0
    리포트 | 28페이지 | 1,000원 | 등록일 2010.06.06
  • 순서논리회로의 기본정리
    따라서 입력의 조합만으로는 출력이 정해지지 않는 논리 회로인 것이다.또한 순서 논리 회로라고 하는 것은 플립플롭(flip-flop ; latch포함)과 조합 논리회로로 구성된 논리회로를 ... , 레지스터는 플립플롭들의 집합으로 구성되며, 플립플롭은 일반적으로 조합논리 회로를 통해서 입력이 된다.이글에서는 이러한 순서논리회로의 종류에 대해서 알아보고자 한다.▷ 플립플롭(Flip-Flop ... 동시에 입력하고 동시에 출력하는 레지스터- 입력 데이터는 각 플립플롭의 입력 D 단자로 들어가며 출력은 각 플립플롭의 출력 Q 단자를 통해서 나온다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.04.14
  • 디지털회로설계 - 111 detector 설계 - Moore / Mealy
    그래서 D flip-flop를 4개 사용하여 설계하여 Mealy model에 비해 더 복잡하였다. ... 것은 상태가 a부터 g까지 7개의 상태가 나와서 Moore model보다 1개 적은 D flip-flop를 3개를 사용하여 설계하였다. ... D flip-flop는 클럭이 들어올 때만 출력을 내보내므로 propagation delay가 생겨도 글리치가 발생하지 않게 만든 것이다.5. 참고문헌?
    리포트 | 6페이지 | 1,500원 | 등록일 2008.05.12 | 수정일 2019.04.12
  • lab7 시프트 레지스터
    Logic Lab Unit1IC: 74LS194 4-bit bi-directional Shift Register1IC: 74LS74 D-Flip Flop2IC: 74LS164 8- ... bit SIPO Shift Register1IC: 74LS76 JK-Flip Flop2wire, nipper, DMM 등[3]기초학습a) 교과서와 data sheet를 참고하여 각 ... 8비트 A/D 변환기로 8080, 8085, Z80, 6800, 6502 마이크로프로세서와 바로 연결될 수 있도록 설계되었다?
    리포트 | 11페이지 | 1,500원 | 등록일 2010.06.23
  • [레지스터 특징][쉬프트레지스터 개념][쉬프트레지스터 원리][쉬프트레지스터 종류]레지스터의 특징 고찰과 쉬프트레지스터의 개념, 쉬프트레지스터의 원리, 쉬프트레지스터의 종류에 관한 분석(레지스터)
    레지스터의 특징○ Register : 여러개의 flip-flop으로 구성되며 자료의 기억용으로 사용된다.flip-flop이 trigger되는 방식은 level trigger, edge ... 있으면 다시 출력에 영향을 미치게 되지만 flip-flop은 edge trigger되므로 펄스의 폭에 관계 없이 펄스가 변하는 순간의 입력을 sampling하여 출력을 결정하므로 ... 하고, 클럭 펄스에 의해서 edggger임)되는 것을 flip-flop이라 한다.latch는 level trigger 되므로 펄스의 폭이 넓다고 가정할 때 펄스 후반에 입력의 변화가
    리포트 | 6페이지 | 5,000원 | 등록일 2009.07.12
  • [프로세서 설계] 신호등 설계 verilog HDL 을 이용하 설계
    West East South Sky pass Processor design 200903 Processor design 2009 D-flip flop Truth Table f(t) f ... (t+1) D flip-flop WE SW EW WE SW EW WE SW EW 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 0 1 0 0 1 0 ... Truth Table 최적화 -#104 Processor design 2009 D- flipflop ( 이어서 ) Optimization ⅰ .
    리포트 | 33페이지 | 3,000원 | 등록일 2009.12.22
  • Digital Door Lock System // 디지털 도어락 도어 락 시스템 설계결과 발표서
    결과 요약 및 미진 사항 결과 요약 – 배운 부분 – 저장 장치의 종류는 Cap, Inverter, Flip Flop 등 여러 종류가 있었다 . ... Upgrade 또는 수정된 내용 Upgrade (1) - 인코더의 직접설계 인코더를 사용할 경우 가장 작은 인코더가 8 to 3 인코더였는데 , 우리가 사용하는 인코더는 4 to 2 ... 따라서 이 부분을 Shift D F/F 을 사용하여서 설계하였다 .3. 단위 block 들의 설계 내용 및 결과 2 st Block – Shift D F/F 시뮬레이션 결과4.
    리포트 | 18페이지 | 4,500원 | 등록일 2009.12.14
  • 실험 / Gate와 Flipflop을 이용한 comparator & counter & 7-segment 구현
    [Flip-Flop & Counter]Q1. ... Flop위의 그림은 에지 트리거 D 플립플랍이다. ... 입력 펄스는 클록펄스나 그 밖의 다른 신호원으로부터 생길 수 있으며, 정해진 주operation when the initial condition is 2, 5, 7.- D Flip-
    리포트 | 18페이지 | 4,000원 | 등록일 2009.12.23
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    00000011 00001110110001 00010011 0011 E 기술되는 행동인 FSM의 D-type flip-flop을 디자인 하기위해서다음과 같은 단계를 거친다.(1) ... flip-flop(4) using K-maps, optimize the Boolean equations.다음과 같은 회로도를 얻을 수 있다.지금까지의 실험 이론적 배경 지식을 바탕으로 ... BCD-to Excess-3 code converter on Spartan B/D1) Project 생성후 New Source Wizard 설정2) VHDL coding 후 SAVE
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • Synchronus Counter
    확인하라.실험2)1.T Flip-Flop을 사용하여 Synchronous MOD 12 Counter를 설계하라.2. ... Abstract실험1)1.JK Flip-Flop을 사용한 Synchronous MOD 10 counter를 제작하라.2.FPGA 보드를 사용하여 회로도를 작성하고 보드상에서의 동작을 ... DiscussionJK Flip-Flop을 사용한 Synchronous MOD 10 counter 로서 숫자가 ‘0’부터 시작하여 ‘9’까지 반복하여 계속 카운터 하는것을 7-Segement로
    리포트 | 22페이지 | 2,500원 | 등록일 2009.05.28
  • VHDL-Pre lab - Counters
    Asynchronous는 공통된 Clock Signal이 아닌 이전 단계의 Flip-Flop의 Output이 다음 단계의 Flip-Flop의 Clock Signal이 되어 구동되는 ... 이와는 반대로 Synchronous는 공통된 Clock Signal을 갖게 되어, 모든 Flip-Flop이 한 번에 transition한다.- Asynchronous CounterSynchronous에 ... 실험 목적Counter에 대해 이해하고 동기식과 비동기식의 Reset Counter 의 차이를 이해하고 설계한다.2.
    리포트 | 9페이지 | 2,000원 | 등록일 2009.06.29
  • 논리회로2
    플립 - 플롭 (flip-flop) 차이점 : 주기적 클락신호에 따른 상태변화 유무6. ... 설계 7 세그먼트 (seven segment)7-segment 설계7. 설계 준비물7. 설계 7 세그먼트 회로 설계7. 설계 카르노맵 구하기7. ... 순차논리회로 제어입력을 갖는 D 래치 입력이 SR 대신 D 만으로 대체 .6. 순차논리회로 플립플롭 출력은 클락의 순간적인 변화에 의해 상태변화6.
    리포트 | 73페이지 | 3,000원 | 등록일 2011.07.31
  • 운영체제(생능) 1장 연습문제
    플립플롭(flip-flop buffering) 버퍼링이라고도 한다.삼중 버퍼링 : 이중버퍼시스템의 단점을 보완하기 위해 3개의 버퍼를 두는 기이션과 비디오 재생 및 음성전달, 전달지연을 ... 예를 들어 3D 게임.13. 스풀링이란 무엇인가? ... 통신기능은 기존 운영체제 위에 존재하며 자원 공유가 곤란하다.분산 운영체제 : 각 노드들을 통괄할 수 있는 하나의 운영체제로 운영되며, 통신기능은 운영체제 설계 시 고려된다.
    리포트 | 3페이지 | 1,500원 | 등록일 2013.01.14 | 수정일 2014.10.19
  • SYSTEM C와 verilog HDL을 이용한 하드웨어 설계.(fir filter예)
    Register는 데이터 저장소 역할을 하며, Flip-flop에 의한 하드웨어 레지스터와는 다르다.- 새로운 값이 할당될 때 까지는 현재의 값을 그대로 유지- 순차회로에서 주로 사용 ... 실제 하드웨어상에서 클럭에 따라저장되는 flip-flop과는 다르며, 순차 구문상에서 데이터를 잠시 저장하는 기능을 갖고 있다.Behavioral or algorithm level ... 모델링- 설계 알고리즘을 기술,C 프로그래밍과 유사Dataflow level 모델링- 하드웨어 사이의 데이터 흐름 기술Gate level 모델링논리 게이트의 연결에 의해 모듈 구현5.1.1
    리포트 | 26페이지 | 1,500원 | 등록일 2009.02.28
  • 디지털 도어록 프로젝트 보고서
    그래서 2,3,4번의 세그먼트에 입력 값들을 저장시켜주는 7474(D플립플롭)을 74174(6개의 D Flip-Flop이 내장됨)를 활용하여 7474칩을 줄일 수 있었다. ... 7448 (BCD to 7-Segment Decoders) 4개- 74174 (D Flip-Flop withCommon Clock) 3개- 7490 (Dacade and Binary ... 2.1 도어락이란2.2 도어락과 관련된 신문기사준비물3.1 남땜 기구3.2 7세그먼트3.3 각종 IC 칩3.4 기타 기구설계 및 구현 과정4.
    리포트 | 13페이지 | 3,000원 | 등록일 2010.06.24 | 수정일 2018.06.10
  • 실험3예비[1].가산기와감산기
    Flop을 사용할 것이다. ... D는 XOR gate의 출력임을 쉽게 알 수 있으므로이다.- B를 자세히 살펴보면 X=0 일 때에는 출력은 Y이고, X=1일 때에는 출력이 0()이다. ... Full Subtracter가산기를 설계하는 방법에는 serial과 parallel 2가지의 방법이 있다. 이 두 방법의 특징과 논리회로 설계 방식을 설명하시오.
    리포트 | 9페이지 | 1,000원 | 등록일 2011.06.27
  • 논리회로2 `엘리베이터/엘레베이터` 설계&제작 발표자료
    EON : 입력시 1 [ 3 J - K Flip Flop ] 1. 입력없을 때 이전 입력값 유지 2. A0N~A2N 값에 따라 값 출력 Reset 입력 3. ... 위해 D-FF 4 개 사용 DEMUX 설계를 위해 DEMUX 1 개 , JK-FF 2 개 사용 화살표 이동 동작 을 표현하기 위해 LED 24 개 사용 [ 출력부 ] 3 출력부- ... D-FF 4 개 3. 비교 값이 클 때 클럭이 들어감 (AND GATE 이용 ) [ 출력부 ] 1 3 출력부 – 1- 14 - 5.
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.12
  • [공학기술]vhdl 설계 및 응용 - 플립플롭, 카운터 등 예제3 (디지털 아날로그 설계및 응용)
    중간고사 과제과 목 명 :담당교수 :학 과 :학 번 :성 명 :제 출 일 : D-Flip-Flop을 이용하여 T Flip-flop을 구현할 수 있는 디지털 논리회로를 설계하시오. ... 아울러 Flip-Flop의 CLOCK은 KEY_C를 사용하며 하강에지에 서 T 입력을 받아 D-Flip-Flop으로 latch 동작을 할 수 있도록 설계하 시오. ... 또한 LED11은 LED10의 반전 동작을 한다.- D-F/F를 이용한 회로설계D-F/F 은 CLR와 PR이 모두 ‘1’일때 CP의 상승에지에서 D의 상태가 Q로 래치되는 동작을 하며
    리포트 | 10페이지 | 1,500원 | 등록일 2007.07.07
  • N체분계수기와 10진 계수기
    실험 목적1) T Flip-Flop을 P단 직렬접속한 계수기로서보다 적은 다른 인수로 입력 주파수를 체분하는 계수에 대하여 학습한다.2) N체분계수기의 계수에 대하여 학습한다.3) ... 변하면 D 플립플롭의 출력이 0이 됨을 알 수 있다.이런 상황을 토대로 회로를 설계하면 < 그림 2 >와 같다.< 그림 >카운터펄스< 그림 2 >의 동작이 BCD카운터로 동작하는지를 ... JK 플립플롭을 사용해서 비동기 상승 카운터를 설계하면 된다.
    리포트 | 6페이지 | 1,500원 | 등록일 2010.05.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 21일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:28 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대