• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(1,134)
  • 리포트(1,032)
  • 시험자료(87)
  • 자기소개서(7)
  • 방송통신대(6)
  • 논문(1)
  • 서식(1)

"3비트 가산기" 검색결과 301-320 / 1,134건

  • 논리회로설계실습-비교기-MUX-ALU-결과보고서
    Package 선언함수 A6_JSW_CHS_Adder는 4비트 크기의 이진수 A_in[3:0]와 B_in[3:0]을 입력으로 받아 덧셈 후 결과값인 5비트 크기의 비트열을 출력으로 ... 프로시져 A6_JSW_CHS_Procedure는 마찬가지로 4비트 크기의 이진수 I0[3:0], I1[3:0]을 입력으로 받아 뺄셈 후 5비트 크기의 이진수 Subtract를 출력하는 ... 실험 목표4비트 크기의 이진수 A, B와 2비트 크기의 선택 신호 S를 입력으로 받아 5비트 이진수 Y를 출력하는 산술논리연산장치(ALU)의 동작을 이해하고 설계한다.
    리포트 | 8페이지 | 1,500원 | 등록일 2018.01.10
  • 가산기와 감산기 회로 레포트
    내부에 넣는 것이 비효율적이기 때문에 감산기 대신 가산기를 이용해서 뺄셈을 하려고 보수를 취해서 사용하는 것이다. 1의 보수를 이용할 경우, 4비트 병렬 가산기처럼 연결하고 모든 ... 얻는 논리 회로3. ... 실험(4)에서는 실험(3)의 회로에서 NOT칩을 추가하여 전 감산기를 설계할 수 있었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2019.06.21
  • 회로실험I 결과보고서 - 반가산기와 전가산기
    가산기와 전가산기실험 준비물(1) 전원공급기(GW GPC-3020A) 1대(2) 오실로스코프(3) 브레드보드? SN7400(Quad 2-input NAND Gate)? ... 회로를 구성하여 진리표를 작성하라.XYBn-1BnD0000000111010110111010001101001100011111(5) 2 bit 병렬 2진가산기를 구성하여 실험하고 진리표를 ... 다음은 반감산기 회로이다.
    리포트 | 6페이지 | 1,500원 | 등록일 2019.05.13 | 수정일 2020.05.06
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습3 [결과레포트]
    Essential Backgr4비트 가산기 : 앞의 전가산기가 1비트의 값을 더한 가산기라면, 멀티 비트 가산기(Multi-Bit Adder)인 4비트 가산기를 설계하여 보자.그림 ... SEQ 그림 \* ARABIC 6 4비트 가산기4비트 가산기 설계1. ... Purpose of this Lab연산회로를 이해하고 학습한다연산회로를 직접 설계하며 구동을 확인한다.반가산기, 전가산기, 4비트 가산기를 설계한다..학습한 내용을 응용하여 감산기를
    리포트 | 31페이지 | 1,000원 | 등록일 2017.10.19
  • [Ayeun]컴퓨터구조 CPU 설계 보고서
    그러므로 메인 메모리의 크기는 4096 워드 x 16bit = 8Kb 가 되고 설계에서는 4Kb까지 사용한다.AR : 어드레스 레지스터로 12비트 레지스터이며 어떤 ‘주소값’을 저장하는 ... AR,PCAR과 PC의 내용이 버스에 전송될때 상위 4비트가 0으로 채워지며버스의 내용이 AR이나 PC로 전송될때 하위 12비트만이 전송된다.- ACAC의 16비트 입력은 가산논리회로에 ... I비트(15번 비트) - 주소지정 방식 결정의 모드 비트? 연산코드 비트(14~12번 비트) - 3비트로 연산 종류 결정?
    리포트 | 22페이지 | 3,000원 | 등록일 2018.12.21
  • 디지털공학실험 05. 가산기 ALU 예비
    이떄 두 개의 수 A,B를 합해서 나온 합과 자리올림이 발생한다.전가산기두개의 이전수와 아래 자리에서 발생한 자리올림수를 더해주는 회로를 전가산기라 한다 즉 3개의 입력과 2개의 출력을 ... 실험목적반가산기와 전가산기의 원리를 이해한다.반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부상용 ALU의 기능을 이해상용화된 4비트 ALU를 이용하여 두수의 가감산을 ... 실험함으로써 ALU의 동작과 응용 확인이론.반가산기1비트의 이진수를 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 공학실험 전반 가산기 보고서
    이렇듯 부울식을 유도하여 회로를 구성하는 것이 회로의 낭비를 줄이고 효과적인 회로를 구성하는 데 많은 도움을 준다.33비트 병렬 2진 가산기3Bit Parallel 2D adder3비트 ... 즉, 덧셈해야 할 2개의 비트를 받아서 2개의 출력, 즉 합(sum)과 자리 올림 비트(carry bit)를 생성한다.반 가산기는 이와 같이 자리 올림 비트를 출력할 수는 있지만 앞의 ... 덧셈으로부터 자리 올림 비트를 받을 수는 없다. 3개 입력, 즉 덧셈해야 할 2개의 비트와 앞의 덧셈으로부터 자리 올림 비트를 덧셈하는 것은 온 덧셈기의 기능이다.
    리포트 | 3페이지 | 1,000원 | 등록일 2010.01.04
  • 디지털공학실험 06. 조합논리회로응용 예비
    이떄 두 개의 수 A,B를 합해서 나온 합과 자리올림이 발생한다.전가산기두개의 이전수와 아래 자리에서 발생한 자리올림수를 더해주는 회로를 전가산기라 한다 즉 3개의 입력과 2개의 출력을 ... 실험목적반가산기와 전가산기의 원리를 이해한다.반가산기와 전가산기의 설계를 통해 조합논리회로의 설계방법을 공부상용 ALU의 기능을 이해상용화된 4비트 ALU를 이용하여 두수의 가감산을 ... 실험함으로써 ALU의 동작과 응용 확인이론.반가산기1비트의 이진수를 표시된 두 수를 합하여 그 결과를 출력하는 가산기를 반가산기라고 한다.
    리포트 | 3페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • MATLAB을 이용한 MUX & ADDER설계
    (1) 실험1의 1bit가산기를 이용하여 3bit가산기를 만들고 소스코드와 테스트벤치를 제출하시오.3input_full_adder 코드library IEEE;use IEEE.std_logic ... =`0`; U1 : full_adder port map(a0,b0,c0,s0,c1); U2 : full_adder port map(a1,b1,c1,s1,c2); U3
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.30
  • 실험 2. CMOS 회로의 전기적 특성 예비보고서
    2진수 덧셈만 가능한 반가산기는 2비트이상인 2진수 덧셈이 불가능하다. ... 반가산기를 예를 들어 생각해보자면 A와 B중 하나만 1이면 자리올림수 C는0이고 합S는1이고 A와 B 둘다 1이면 자리올림수C는1이고 합S는 0이다 최종값은 2C+S와 같다.전가산기1비트 ... 당연히 A와B의 관계는 A에서 B를 빼는것이라 A-B라 이해하면된다.전감산기2비트이상의 2진수의 뺄셈을 하지못하는 반감산기에 대안으로 전감산기가 나왔는데, 가산기와 비슷한논리로 전감산기에는
    리포트 | 11페이지 | 1,000원 | 등록일 2017.12.07
  • 가산기와 감산기
    가산기를 조합하여 4비트 이상의 덧셈을 할 수 있다.● 실험 구성④ 전감산기 설계- 각각 3개의 입력 단자와 2개의 출력 단자를 가지는 회로로써, 뺄셈해야 할 2개의 비트와 다른 ... 설계-각각 3개의 입력 단자와 2개의 출력 단자를 가 지는 회로로써, 덧셈해야 할 2개의 비트와 다 른 숫자 위치에서 자리 올림 비트를 받아 Carry와 Sum을 출력하는 회로이다.전가산기와 ... 가산기와 감산기, 4비트 2진 비교기 실험● 실험 목적- 반가산기, 반감산기, 전가산기, 전감산기 회로를 만들어 보고, 정상 동작 하는지 실험을 통해 알아보고, 논리식으로 검토해 본다
    리포트 | 7페이지 | 1,000원 | 등록일 2017.06.07
  • Digital System Design VHDL(디지털 시스템 디자인 VHDL)
    (Structure Modeling) 디지털 시스템조합회로 : 8 Bit 가산기 (8 Bit Full Adder) M 비트 이진수를 입력 받아 M 비트 덧셈결과를 출력 . ... 디지털 시스템전가산기 (Full Adder) 설계 : Structural Modeling 디지털 시스템조합회로 : 4 Bit 리플 캐리 가산기 (4 Bit Ripple Carry Adder ... 개의 입력 비트들의 합을 구하는 조 합논리회로 . 3 개의 입력과 2 개의 출력으로 구성됨 . 3 개 입력은 피연산수 x 와 연산수 y, 그리고 하위 비트에서 발생한 올림수 Ci 가
    리포트 | 53페이지 | 3,500원 | 등록일 2011.11.08
  • 논리회로설계실습-비교기-MUX-ALU-예비보고서
    이번 실험에서는 3비트 입력 S가 000이 입력되었을 경우 A의 전송 기능을 수행하고, S가 001이 입력 되었을 때 A와 B의 가산 기능을 수행한다. ... Process문을 사용하여 동작적 모델링으로 설계해 보았다.먼저, 4비트 입력 A와 B 그리고 3비트 입력 S를 입력으로 설정 하였다. ... 즉, 1비트 비교기를 최상위 비트부터 비교하며 두수의 크기가 다른 경우 그 결과값을 출력값으로 만든다. 4비트 비교기를 예로 들어 보겠다. 4비트 비교기의 구성도는 다음과 같다.여기서
    리포트 | 6페이지 | 1,000원 | 등록일 2018.01.10
  • 금오공대 전자공학부 컴퓨터구조 ㅅㅇㅎ 2010년 2학기 중간시험 족보
    가산기 1개와 전가산기 1개를 이용하여 2비트의 2진 덧셈을 수행하는 덧셈기를 논리게이트를 이용하여 구현하시오.(3점)3. ... 반가산기 1개와 전가산기 1개를 이용하여 2비트의 2진 덧셈을 수행하는 덧셈기를 블록도르르 이용하여 구현하시오.(2점)2.
    시험자료 | 2페이지 | 10,000원 | 등록일 2018.05.05 | 수정일 2022.05.07
  • 디지털공학실험 07. 직렬덧셈기 예비
    < 순차 회로 직렬 가산기 With Accumulator 예비보고서>실험목적순차 회로를 통한 직렬 가산기를 설계하는 법을 학습한다.또 직렬 가산기를 설계하고 상태표와 상태그래프로 나타내어 ... 분석한다.이론.직렬가산기의 설계직렬가산기의 회로는 시프트 레지스터 2개에 입력 X, Y를 넣고,레지스터 오른쪽으로 시프트시켜 FullAdder로 입력되어 sum과 carry가 나타나도록 ... 값을 오른쪽으로 한칸 시프트한다.그리고 X-Accunulator에서 x의 최상위 비트(x3)은 FullAdder의 sum값으로 입력되어 순환한다.반면 Y-Addend Reguster에서
    리포트 | 2페이지 | 1,000원 | 등록일 2017.06.29 | 수정일 2017.07.01
  • 디시설 - 인코더, 디코더 설계
    가산 기를 진리표대로 설계할 때와 같이, 모든 입력의 경우에 대해 출력이 발생한다.디코더에서는 입력이 3비트이므로 8가지 경우에 대해 출력한다. x가 “000”이면 출력 D는 “00000001 ... 하지만 디코더의 경우 입출력이 모두 여러 비트이므로 벡터로 선언해야 한다.6행과 7행은 입력x가 3비트 크기의 논리형 데이터이며, 출력 D가 8비트의 논리형 데이터임 을 선언한 것이다 ... VHDL 코드- 입력 8bit, 출력 3bit, enable 신호 1bit 인, valid 1bit 8X3 우선순위 인코더 코드를 작성하 였다.entity선언인 4~9행에서 d와 A는
    리포트 | 9페이지 | 1,000원 | 등록일 2019.07.20
  • [아날로그및디지털회로설계실습A+] 4-bit Adder 회로 설계 예비 레포트 입니다
    가산기 회로를 위한 전가산기 회로를 이용해 설계하여라. ... 목적 : 조합논리회로의 설계 방법을 이해하고 조합논리회로의 한 예로 가산기 회로를 설계한다.2. ... 설계 실습 계획서(1) 전가산기에 대한 진리표를 작성하여라.ABCinSCout0*************00110110010101011100111111(2) Karnaugh 맵을 이용하여
    리포트 | 4페이지 | 1,000원 | 등록일 2017.10.06
  • 아주대학교 논리회로실험 실험10 예비보고서
    2단 저항 분압 방식으로 위의 3bit DAC를 2단으로 만든 것이다. 6bit DAC이다.3. ... D/A 변환기에서 상위 비트부터 순차로 각 비트에 대응하는 전압을 발생시켜 이것과 샘플 폴드된 입력 전압을 비교하여 디지털 신호를 얻게 된다샘플폴드 회로는 아래와 같다.4) DAC( ... _{f} 값을 바꿔가며 실험을 한다.이론에서 확인한 Opamp의 반전가산증폭기 역할에서 나온 수식을 활용하면V _{o} =-( {R _{f}} over {R _{1}} V _{1}
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • [컴퓨터구조] "ARM vs MIPS" 레포트
    동작 후 pc값은 자동적으로 가산기에 의해 다음 명령의 주소인 0x8010로 증가되어 새로운 명령을 읽어올 준비를 한다.2) DECODE : 읽어온 SUB 명령을 해석하고 프로그램을 ... MIPS-3D 같은 3차원 그래픽을 위한 SIMD 확장 기능도 존재한다. MDMX(MaDMaX) 확장은 64비트 유동 소수점 레지스터를 활용하는 정수 연산 집합이다. ... (op+funct specifies operation) (6 bits)작업하는 모든 데이터는 단어 (32 비트 블록)로 되어 있습니다.
    리포트 | 6페이지 | 1,000원 | 등록일 2019.03.27 | 수정일 2019.04.01
  • 기초회로 실험 9주차 예비보고서, 실험 9. Multiplexer 가산-감산
    변수로 제어 가능하다.(3) 전가산기(Full Adder)74LS153은 전가산기를 구성할 수 있다. 2개의 멀티플렉서중 하나는 합을 발생시키고, 하나는 자리올림수를 발생시킨다. ... 전가산기 진리표는 다음과 같다.BACiSCo0*************00110110010101011100111111(3) 전감산기(Full Subtractor)전감산기를 구현하기 위해서는 ... 실험 개요(1) 전가산기 구성을 위해 2개의 4입력 Multiplexer 사용을 익힌다.(2) 2개의 4-입력 Multiplexer를 감산기로 사용하는 것을 익힌다.2.
    리포트 | 6페이지 | 2,000원 | 등록일 2018.03.23
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:13 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대