• 통큰쿠폰이벤트-통합
  • 통합검색(50,407)
  • 리포트(44,544)
  • 시험자료(3,111)
  • ppt테마(1,248)
  • 자기소개서(439)
  • 방송통신대(425)
  • 서식(269)
  • 이력서(218)
  • 논문(143)
  • 노하우(8)
  • 표지/속지(2)

"E(X)" 검색결과 301-320 / 50,407건

  • 반응공학 결과레포트
    {X _{A _{e}}} over {1-X _{A _{e}}}T(K){1} over {T} (1/rmK)k _{2}"ln"`k _{2}2980.003360.066-2.71813030.003300.109 ... _{A} = {C _{A _{0}} -C _{A}} over {C _{A _{0}}}, 평형 전화율:X _{A _{e}} `=` {C _{A _{0}} -C _{A _{e}}} over ... )40CENTIGRADE =313K,C _{Ae}=0.027,X _{Ae}=0.46t(min)V _{3} (ml)C _{A} (mol/L)X _{A}1차비가역2차비가역1차가역2차가역000.0500.00000031.60.0340.320.38566248089.4117647061.1895840671.243n
    리포트 | 10페이지 | 1,500원 | 등록일 2022.05.02
  • Convolution 예비보고서 [인하대 전자공학실험1]
    `(t- tau `=`u)#= int _{- INF } ^{INF } {x( tau )`e ^{-jw tau }} d tau int _{- INF } ^{INF } {h(u)e ^{ ... #X(w)`*`H(w)`=`` int _{- INF } ^{INF } {} int _{- INF } ^{INF } {x( tau )h(t- tau )d tau `e ^{-jwt}} ... -jwu} du}#THEREFORE X(w)H(w)`= int _{- INF } ^{INF } {x( tau )`e ^{-jw tau }} d tau int _{- INF } ^{INF
    리포트 | 4페이지 | 1,000원 | 등록일 2022.02.20
  • 한국은행 경제직렬 공개기출 풀이 2010
    )(e-bare )}over{SIGMA(x-barx )^2` }``+``{SIGMA(x -barx )(e-bare )}over{SIGMA(x-barx )^2} 이므로E [hatbeta ... Ans)x,``e가 비확률적이면 된다.나.gamma e를 빼고 추정할 경우에 어떤 문제가 발생하는지 설명하시오.Ans)hatbeta``=``beta``+``gamma{SIGMA(x-barx ... (x-barx ) (e - bare )}over{SIGMA(x-barx )^2}``=``0이 아닌 이상 추정량은 편의 추정량이 된다.더불어 설사 이GMA(y-bary )^2}이므로
    리포트 | 12페이지 | 2,500원 | 등록일 2021.12.12
  • 지오지브라 난수생성 연구자료
    d == -1, "-(", d < 0, d "(", "+" d "(")조건(e == 0, "x", e == 1, "x", e == -1, "-x", e "x")조건(f == 0, ... = 조건(a == 0, 1, a) 조건(b == 0, 1, b) + 조건(d == 0, 1, d) 조건(e == 0, 1, e) ∨ a_2 ! ... , b == 1, "x", b == -1, "-x", b "x")조건(c == 0, ")", c < 0, c ")", "+" c ")")조건(d == 0 ∨ d == 1, "+(",
    리포트 | 2페이지 | 1,000원 | 등록일 2021.11.09
  • [수학/대수학] 미분, 미적분, 테일러 급수를 활용한 오일러 공식의 증명
    다음과 같은 지수함수의 멱급수를 살펴보자.e ^{x} =1+x+ {x ^{2}} over {2} + {x ^{3}} over {3 TIMES 2} + BULLET BULLET BULLET ... `(e:`자연로그의`밑)x에pi 를 대입한 것은 오일러 공식의 특수한 경우이며, 오일러 항등식이라고 부른다.e ^{i pi } +1=0멱급수란, 하나의 수의 지수를 일정하게 증가시킨 ... 함수의 미분을 활용한 오일러 공식의 증명다음과 같은 함수를 상정한다:f(x)=e ^{ix} (cosx+i`sinx)이 함수를x에 대해 미분하면,{d} over {dx} f(x)`=`
    리포트 | 1페이지 | 1,500원 | 등록일 2021.01.04
  • 관능검사 및 실습7_A,부A 검사
    : 기댓값 E = 49 x 49 / 100 = 24.01chi ^{2} `=` SMALLSUM (O-E) ^{2} /E```(`단,`O``:응답`수,`E``:기댓값`)``chi ^{ ... : 두 시료간의 차이가 없다고 (두 시료가 같다) 가정하고 계산한 값- 응답이 ‘같다’의 경우 : 기댓값 E = 51 x 51 / 100 = 26.01- 응답이 ‘다르다’의 경우 ... 답A부A합 계A30 (기댓값 : 26.01)21 (기댓값 : 24.99)51부A21 (기댓값 : 24.99)28 (기댓값 : 24.01)49합 계5149100- 관측값 (O)- 기댓값 (E)
    리포트 | 3페이지 | 1,500원 | 등록일 2023.11.17
  • [현대물리학실험]감쇠진동(Driven Damped Harmonic Oscillations)
    그런데 만약 해의 형태를 삼각함수로 바꾸고 싶어서 다음과 같이 쓴다고 하자.A prime `cos` _{1} x} +B`e ^{r _{2} x} →x=e ^{rx}r ^{2} + { ... +omega _{0}^{2}x = 0 ⇒ x(t) =e ^{- beta t} [A _{1}e ^{(-( beta _{2} - omega _{0}^{2} ) ^{1/2} t)} +A ... {ddot{x}} +2 beta {dot{x}} +w _{0}^{````2} x=0x=e ^{- beta t} [A _{1} exp( sqrt {beta }x '' +2 beta x
    리포트 | 8페이지 | 3,000원 | 등록일 2021.09.14
  • MRP의 기본개념과 계산과정
    소요량 = 200X2 = 400개, 부품 D의 소요량 = 200X2X3 = 1,200개, 부품 F의 소요량 = 200X2 + 200X2 X3= 1,600개ⅴ. ... 이를 이용하여 소요량을 계산하면 밑과 같다.( 각 품목별 소요량 계산)부품 A의 소요량 = 200X2 = 400개, 부품 B의 소요량 = 200X2 + 200 = 600개, 부품 C의 ... 13%EC%9E%A5%20%EC%9E%90%EC%9E%AC%EC%86%8C%EC%9A%94%EA%B3%84%ED%9A%8D-02.pdf Hyperlink "https://m.blog.naver.com
    리포트 | 4페이지 | 2,000원 | 등록일 2023.03.18
  • 금오공대 신소재 X선공학및설계 과제1~3 계산기
    0150.822840.4291151750.2085920170.1841398331331110.3612919720.445280.445280.0077716020.414760.0072389288E- ... 050.0042130750.003660529388.870790.7001045790.1278523280.4901464222.6618163687.98544910482200.3616209910.636640.636640.0111114640.55030.0096045473.12E- ... 060.0028284270.002554776259.349490.4950757420.1808006180.2450999911.3310536163.99316084942000.3616012350.534410.534410.0093272140.476730.0083205081.775E-
    리포트 | 1페이지 | 5,000원 | 등록일 2024.01.02
  • 반응공학 예비레포트
    가역반응:k _{1} =k _{10} e ^{-E _{1} /RT} ,`k _{2} =k _{20} e ^{-E _{2} /RT}#ln`k _{1} =ln`k _{10} - {E _ ... X _{A}} over {X _{Ae} -X _{A}} =2k _{1} ( {1} over {X _{Ae}} -1)C _{A0} t```````````````````````````` ... 비가역반응:k=k _{0} e ^{-E/RT}ln`k=ln`k _{0} - {E} over {RT} `````````````````````````````````````````````
    리포트 | 1페이지 | 1,500원 | 등록일 2022.05.02
  • 논리회로 텀 프로젝트 기말과제
    (001)01C(001)D(010)000X0X0X1XX0X1D(010)01D(010)E(111)000X1XX0X00X1XE(111)01E(111)G(101)11X0X0X0X1X0X0G ... 1011(B) → 001(C)001(C)상태에서 입력 1001(C) → 010(D)010(D)상태에서 입력 1010(D) → 111(E)111(E)상태에서 입력 1011(E) → ... 상태에서 입력 0111 → 111(E) (E)101(G) 상태에서 입력 0101 → 101(G) (G)000(A)상태에서 입력 1000(A) → 011(B)011(B)상태에서 입력
    리포트 | 19페이지 | 1,500원 | 등록일 2021.08.17 | 수정일 2022.04.20
  • [A+] 서강대 현대생물학실험1 Taq DNA polymerase의 활성
    Com.은 commercial taq DNA polymerase이다.대조군Taq 1Taq 2DWCom.1x1/4x1/8x1/16x1x1/4x1/8x1/16x정제된 Taq DNA polymerase를 ... 1x, 1/4x(1x 10ul+DW 30ul), 1/8x(1/4x 20ul+DW 20ul), 1/16x(1/8x 20ul+DW 20ul)로 serial dilution하여 40 ul씩 ... 도입시켰으며, ampicillin이 첨가된 LB에서 pTTQ18 vector가 도입된 E.coli를 선별하여 target gene을 발현시켰다.
    리포트 | 8페이지 | 2,500원 | 등록일 2023.06.03
  • 일반물리실험 RLC회로
    임피던스Z는Z={ SQRT {R^{2}+(X_{L}-X_{C})}^{2}}이며 29-21식은I_{m}={ epsilon _{m}}over{Z}또는I_{e}={ epsilon _{e} ... 여기서I_{e}와epsilon _{e}는 각각 전류 전압의 실효값이다.다음으로 위상상수(위상각)PHI에 대한 표현식을 나타내어 보자. ... 여기서 그림 29-8(b)는X_{L} >X_{C}의 경우에 대해서 그려진 것이며, 전원의 전압은 전류에 대해서PHI만큼 앞선 것이다. 만일X_{L}
    리포트 | 4페이지 | 1,500원 | 등록일 2023.06.20
  • [연세대] 일반물리실험 - 물리진자, 비틀림진자
    토의rm T _{e} 와rm T _{theory} =2 pi sqrt {{L ^{2} +12x ^{2}} over {12gx}}(막대),rm T _{theory} =2 pi sqrt ... (%)rm T _{1e}rm T _{2e}rm T _{3e}Means(rm T _{e})rmO _{1}1.14691.14401.14281.14691.13860.694rm O _{2} ... )rm T _{1e}rm T _{2e}rm T _{3e}Means(rm T _{e})rmO _{1}0.77550.76690.77460.77230.76970.338rm O _{2}0.75200.75430.76350.75660.75860.264rm
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.07
  • 전자응용실험 12장 예비 [다이오드 특성 시뮬레이션]
    {D}} (e ^{V _{A} /V _{T}} -1)e ^{-x prime /L _{p}} (12.6)J _{n} (x '' )=q {D _{n}} over {L _{n}} {n _ ... {i} ^{2}} over {N _{A}} (e ^{V _{A} /V _{T}} -1)e ^{-x prime /L _{n}} (12.7)J=J _{p} (x _{n} )+J _{n} ... e ^{-x prime /L _{p}} ```````where~L _{p} = sqrt {D _{p} tau _{p}} (12.4)여기서x prime =x-x _{n} ,``x ''
    리포트 | 4페이지 | 1,500원 | 등록일 2020.11.15
  • 인하대학교 수문학 과제 1
    ^{- lambda `x} dx}#``````=[-x`e ^{- lambda `x} - {1} over {lambda } `e ^{- lambda `x} ] _{0} ^{INF } ... ^{INF } {xf(x)dx} )##bar{x} `= int _{- INF } ^{INF } {xf(x)dx`}#``````=` int _{0} ^{INF } {x lambda e ... Exponential Distribution :f(x)`=` lambda e ^{-lambda`x}(x>0)Rainfall No.(1)(2)(3)(4)(5)(6)Rainfall duration
    리포트 | 6페이지 | 2,000원 | 등록일 2021.11.29
  • 전북대학교 전자공학부 일반편입 24,23,22 필기시험 문제와 면접 문제 및 후기
    ' 를 K-map을 이용하여 Don't care를 사용하지 않고 합의 곱 형태 (Sum of product) 형태로 나타내시오.1-(b) 기억이 나지 않습니다.? ... Full Adder의 입력 x,y,z 를 Sum와 Carry로 출력을 나타내시오.2-(a) Sum은 XOR만을 이용해 표현하시오.2-(b) Carry는 K-map을 이용하여 SOP ... 말씀드리자면 난이도가 낮게 출제되었습니다.논리회로 (2번을 풀이하였기에 1번 문제는 다소 복원이 정확하기 않을 수 있습니다, 느낌만 살리면 될 것 같습니다.)1-(a) F=xy'+x'z
    자기소개서 | 3페이지 | 8,000원 | 등록일 2024.02.08 | 수정일 2024.08.05
  • 한국은행 경제직렬 모의고사 143
    대리인의 효용함수는 다음과 같다.Expected`utility=`E(w)`- phi ``var`(w)-`g(e)`##where`g'(0)=0`,`g'`,`g''`>0``for`e>0대리인의 ... 대리인의 기대 효용이 다음과 같음을 증명하시오. [2점]alpha `+` beta e`- phi beta ^{2} ` sigma ^{2} `-g(e)나. e가 관찰 가능하다고 하자. ... 이윤 (pi )은 노력(e) 에 따라 값이 달라지는 확률 변수로pi SIM `N`(e`,` sigma ^{2} ) 을 따른다고 하자.가. 임금이 선형 보상 체계를 따른다고 하자.
    리포트 | 24페이지 | 3,000원 | 등록일 2021.12.14
  • 일반물리_04. 역학적 에너지 보존
    over {2} gt ^{2} ,``x=v _{e} t 이므로y= {1} over {2} g {x ^{2}} over {v _{e} ^{2}} 가 되어v _{e} = sqrt {{gx ... 10회 측정한다.4) 점 e에서 구의 속력v _{실험}을 측정된x와y를 값을 사용하여 구한다.5) 식②에R값을 대입하여 구한 구의 속력v _{이론}을 구하고 실험값과 비교한다. ... 점 e의 수직선이 지면과 만나는 점을 좌표축의 원점으로 하고 지면과 평행한 방향을x축, 수직방향을y축으로 하면([그림1]참조) 구의 궤도는 다음 식으로 표현할 수 있다.y= {1}
    리포트 | 6페이지 | 1,000원 | 등록일 2023.03.08
  • 일물실1 Tracker 힘과 가속도 보고서
    =x _{o} +v _{o} DELTA t+ {1} over {2} a _{x} DELTA t ^{2}v ^{2} -v _{0} ^{2} =2a _{x} DELTA t3. ... -012.17E+008.89E-01txv_{x}0.00E+004.15E-041.00E-012.13E-034.74E-022.00E-019.91E-036.51E-023.00E-011.52E ... +007.24E-018.21E-011.30E+007.68E-011.12E+001.40E+009.49E-01txv_{x}0.00E+001.92E-041.33E-012.44E-022.94E
    리포트 | 11페이지 | 1,500원 | 등록일 2022.02.03
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대