• 통큰쿠폰이벤트-통합
  • 통합검색(477)
  • 리포트(465)
  • 자기소개서(5)
  • 시험자료(4)
  • 서식(2)
  • 논문(1)

"FND" 검색결과 301-320 / 477건

  • 서강대학교 디지털논리회로실험 9주차결과
    Clock신호는 Kit에 있는 MAX_CLK_OUT에 입력을 연결해주었고 다음 회로에서 Clock이 SEL과 FND_COM에 연결되어 있다. ... .▲ 그림 9.16실험에서 가장 앞의 7-segment를 구동하였기 때문에 FND_COM[0]을 active로 놓아두고, 나머지는 inactive상태가 되게끔 GND에 연결하였다.
    리포트 | 7페이지 | 2,000원 | 등록일 2014.01.02
  • BCD TO 7-SEGMENT DECODER 설계 결과 보고서
    이렇게 표현된 신호는 각각 숫자를 의미하며 사용자는 다음 소자인 FND507을 통하여 판독할 수 있다.(2) FND507FND507 소자는 7개의 발광다이오드로 구성되어있다. ... 현재 설계는 한 개의 FND507을 사용하였지만 여러개의 FND507을 사용하게 된다면 더욱 많은 숫자를 표현할 수 있다. ... 결과설계 결과 HD74LS47P 소자는 FND507, 즉 7-SEGMENT를 위해 제작된 칩이다.
    리포트 | 12페이지 | 2,000원 | 등록일 2010.12.21
  • FPGA를 이용한 디지털 시계
    • 입력장치 Switch와 출력장치 FND 7-Segment 제어를 익히고 특성을 이해한다.
    리포트 | 1페이지 | 1,000원 | 등록일 2011.12.22
  • 7490 10진 카운터용 IC를 이용하여 100진 카운터를 설계
    개요 : 7490 10진 카운터용 IC를 이용하여 100진 카운터를 설계할 수 있고 이 출력값을 FND507을 이용하여 출력할 수 있다.2. ... 목적 : 카운터 IC 7490의 동작 원리를 정확한 파악하고 FND507을 통하여 출력되는 원리를 정확히 이해하는데 그 목적을 둔다. 10진 카운터의 설계를 응용하여 100진 업 카운터를
    리포트 | 6페이지 | 1,000원 | 등록일 2011.06.21 | 수정일 2015.12.26
  • 1주차 예비보고서(마이크로컨트롤러 개요)
    , Array FND, Text LCD, Sensor, Memory, Relay, DAC, Audio, UARTModule 장착3) 56mm*60mm Module Zone: OLED ... 구동4.1.1 HBE-MCU-Multi 장비의 모듈 구성1) MCU Module Zone: MCU 및 CPLD Module 장착2) 56mm*45mm Module Zone: LED, FND
    리포트 | 16페이지 | 2,000원 | 등록일 2014.07.08 | 수정일 2023.09.07
  • [토끼] AVR(ATM128) MCU를 이용한 선풍기 구현
    IR 센서와 부저 이용④ USART로 선풍기의 작동 상황을 실시간으로 시리얼웍스에 표시⑤ GPIO 스위치 5개 구현⑥ 각 동작마다 해당 LED 사용⑦ 각 동작 및 타이머 사용시 FND ... DATA_READ 0xFF //데이터읽기 E=1, RW=1, RS=1#define LCD_EN 0x04 //PG2에 연결되어 있기 때문에 bit2를 1로 했다.unsigned char FND_SEG
    리포트 | 55페이지 | 10,000원 | 등록일 2010.02.09 | 수정일 2014.06.08
  • 서강대학교 디지털논리회로실험 3주차결과
    그리고 Kit로 동작을 확인하기 위해 FND_COMM 신호를 넣어주어야 한다. ... Code에서는 ‘fnd’ pin으로 설정해주었다.▲ 7-segment Decoder의 VHDL codePin mapping을 통해 7-segment display에 Logic을 표시하였다
    리포트 | 8페이지 | 2,000원 | 등록일 2014.01.02
  • AVR을 이용한 디지털 선풍기
    {if(fnd_flag){fnd_flag=0;clock_cnt++;if(clock_cnt==100){clock_cnt=0;sec_1++;if(sec_1==0x0a){sec_1=0x00 ... .< 프로젝트 C코드 신호가 들어오면 fnd신호를 온시킨후 TCNT1을 64911로 설정한다.int main(void){// 메인함수cli(); // 인터럽트 정지MCUCR=0x00 ... = 0x02)){Backup_ha1_SW=0x02;break;}Backup_ha1_SW=ha1_SW;Display_fnd1();if(ha1_flag==0)// am{// 01 00PORTD
    리포트 | 30페이지 | 5,000원 | 등록일 2012.03.27 | 수정일 2024.03.20
  • BCD 7-Segment Decoder 설계제안서
    각 칩의 명칭과 기능▶ 7447(BCD to 7 Segment Decoder/Driver)7447(74LS47)은 BCD입력을 받아서 FND에 숫자를 출력해 주는 FND 구동용 IC ... 입력으로 4Pin만을 사용한다.7447 IC는 FND의 공통단자가 VCC에 연결되는 common anode 형을 사용해야 하고 항상 +5V로 출력하다가 0V신호를 주어서 FND를 동작한다 ... 칩이다. 7-Segment용 Decoder IC라고도 부르며, 2진수 4비트로 0~9까지 숫자를 입력하면 출력으로 A~G까지 FND의 LED를 켜 주는 IC칩이다.
    리포트 | 6페이지 | 1,000원 | 등록일 2010.12.21
  • AVR ATmega 128 기본 프로그래밍 [마컴 예비레포트]
    FND 디스플레이6. 그래픽 LCD 인터페이스7. 캐릭터 LCD 인터페이스8. SD 카드 인터페이스9. USB 인터페이스10. 스위치 및 LED 어레이11.
    리포트 | 18페이지 | 1,000원 | 등록일 2012.05.22
  • AVR I/O Control - 7 Segment Control
    Background1. 7 Segment- 7개의 막대형 LED를 사용하여 0~9의 아라비아 숫자, 간단한 기호, 몇 가지의 영문자를 표시 할 수 있도록 만든 LED 복합 소자- FND ... segment 표시 (0~9)unsigned char FND_SHI[4]= {0x01, 0x02, 0x04, 0x08}; //segment의 위치void init_system(void ... [j]; //0부터 9까지 숫자 표현PORTC = 0x40; //7-segment controlPORTA = FND_SHI[i]; //왼쪽에서 오른쪽으로 shiftDelay_ms(500
    리포트 | 19페이지 | 2,000원 | 등록일 2010.10.16
  • RTOS연습이 가능한 AVRTEST보드 PCB입니다.
    Jtag보드가 추가되어 있고 LCD, FND, Switch, temper-sensor, illuminance-sensor 등이 포함되어 있습니다.
    리포트 | 346페이지 | 3,000원 | 등록일 2011.10.28
  • led , 7-segment
    )를 썼냐에따라 다음의 두가지 형태로 나뉜다.FND의 정식명칭은 7-segment LED, 7-segment display등이 있다. ... 부르며 FND는 여러개의 LED를 조합하여 만든 LED모듈이라고 생각하면된다 그 LED를 조합함에 있어서 공통단자인 common단자를 anode( + )를 썼냐 cathode( - ... led on되는 시간 측정7-segment세그먼트 방식의 숫자 표시 소자로서 최대 7개의 세그먼트로 숫자를 표시하는 방식. 7개 모두 통전하면 8의 숫자가 된다7-segment는 FND라고도
    리포트 | 6페이지 | 1,000원 | 등록일 2011.09.26
  • Xilinx verilog 디지털 시계
    1 ~ 2 : 시간 Fnd 3 ~ 4 : 분 Fnd 5 ~ 6 : 초아래 표는 12시 50분 51초부터 시작한 예를 보여준 것fnd1fnd2fnd3fnd4fnd5fnd61초 증가1250511초 ... 1 ~ 2 : 시간 Fnd 3 ~ 4 : 분 Fnd 5 ~ 6 : 초아래 표는 12분 50초 92밀리초 부터 시작한 예를 보여준 것1초밀리초 마다 fnd6이 1씩 증가한다.fnd1fnd2fnd3fnd4fnd5fnd61밀리초 ... 1 ~ 2 : 시간 Fnd 3 ~ 4 : 분 Fnd 5 ~ 6 : 초아래 표는 12시 50분 51초부터 시작한 예를 보여준 것1초마다 시간이 1씩 증가한다.fnd1fnd2fnd3fnd4fnd5fnd61초
    리포트 | 39페이지 | 5,000원 | 등록일 2009.12.23
  • 7-segment
    Low에 두면 모든 세그먼트가 점등되므로 FND의 불량 유무를 알 수 있다. BI 는 LT와 반대로 모든 LED를 끄는 핀이다. ... 아래는 4511(BCD t0 7 segment decoder)의 핀 배치도와 기능표이다.부가적으로 설명을 하자면 A,B,C,D에 이진수를 입력하면 십진수로 변환하여 FND에 출력한다
    리포트 | 3페이지 | 1,000원 | 등록일 2008.09.23
  • 비동기카운터
    접속한다.구분품명규격수량측정기 및 도구직류 안정화 전원장치DC 5V,2A1대브래드 보드WBU206/2081대오실로스코프2CH, 20MHz1대실험 재료IC74LS762개IC74LS471개LED 표시기FND8072개전해콘덴서22uF
    리포트 | 3페이지 | 1,000원 | 등록일 2013.03.26
  • ATMEGA8535를 이용한 라인트레이서 만들기(회로, 소스 포함)
    .② 적외선 센서- 적외선을 방출하는 발광센서 사용- 수광센서는 TR 같은 역할로 빛을 받으면 컬렉터에서 에미터로 전류 흐름- 가격이 저렴하고 많은 용도로 사용 가능③ 1056K(FND ... PORTA=0x00;DDRA=0xf0;//상위 4bit 입력 설정(센서, 스위치)PORTB=0x00;DDRB=0xFF; //led(출력) 설정PORTC=0x00;DDRC=0xFF; //FND
    리포트 | 17페이지 | 2,500원 | 등록일 2013.02.28
  • (전실결과) Digital Circuit 2(엔코더측정회로)를 통한 모터측정
    FND에서 총 4개의 입력이 필요한데, 그 바로 Q0, Q1, Q2, Q3에서 나오는 신호가 그 역할을 한다. ... 별 차이는 없지만, 사실 LED를 달아 놓는 것이 스위치가 작동하는 것을 명확하게 확인할 수 있기는 하다.실험 6 : Labview (디코더 & FND & Display)(구동영상
    리포트 | 9페이지 | 2,000원 | 등록일 2014.04.20
  • 마이크로 로봇 예제풀이 소스코드 exp205
    초기화 상위 FND = 0 하위 FND = 0눌린 S/W 번호 알아내기 함수눌린 S/W가 전 Data와 다른가? ... 상위 FND = Sw_old_data 하위 FND = Sw_new_data눌린 S/W 번호 알아내기 함수S/W 8개 모두 검사를 위해 i=0 초기화i 8 ? ... void) { int Sw_data; int Sw_new_data, Sw_old_data; DDRA=0xff; DDRD=0x00; PORTA=Zero_data; //초기 출력값 상위FND
    리포트 | 8페이지 | 1,000원 | 등록일 2010.04.21
  • mmap()함수를 이용한 KEYPAD 제어용 Device Driver의 설계
    0x11000000 // FND_CSO의 물리적주소#define SCAN_NUM 4unsigned char *keyin, *keyout, *FND0 // 변수 keyin, keyout ... , FND0unsigned char keypad_matrix[4][4]= {{'0','1','2','3'},{'4',5','6',7'},{'8','9','A','B'},{'C',D' ... KEY_OUT_ADDR 0x11D00000 // KEY_OUT_ADDR의 물리적주소#define KEY_IN_ADDR 0x11E00000 // KEY_IN_ADDR의 물리적주소#define FND_CSO
    리포트 | 3페이지 | 2,000원 | 등록일 2010.06.18
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:41 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대