• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,723)
  • 리포트(5,158)
  • 자기소개서(246)
  • 시험자료(195)
  • 방송통신대(68)
  • 논문(49)
  • 서식(4)
  • ppt테마(2)
  • 이력서(1)

"신호처리실험" 검색결과 341-360 / 5,723건

  • 인장시험
    이러한 특성들은 기계설계의 자료로 이용되며 생산된 제품의 품질관리와 화학 분석 및 현미경 조직 시험과 더불어 재료의 열처리 등의 검토, 미지의 재료에 대한 판정에 이용된다.(3)원리 ... 시편의 길이 등을 측정할 때 사람의 손으로 재었기 때문에 오차가 발생하였을것이다.② 시편의 가공하는 과정에서 정확한 치수로 가공되지 못하였을 것이다.③ 스트레인 게이지는 전기적 신호를 ... 시험지그), MOTOR 스위치, MOTOR램프, 안전 스위치, Stopper Arm 등이 있다.(2) 목적 : 일정한 속도롤 반대방향으로 잡아당기는 힘에 대한 물질의 저항성을 측정하는 실험이며
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.24
  • [환경화학실험]하천의 탁도 측정
    실험 목적탁도를 측정하는 목적은, 정수처리공정 중 바이러스를 여과지에서 99% 이상 제거하는데, 이를 확인할 수 있는 항목이라는 점에서 그 중요성이 매우 높으며, pH/용존산소량(DO ... 이 방식은 두 신호의 비율에 따라 측정되므로 전원변동, 발광램프의 노화, 온도변화 등의 환경의 영향이 없다. ... Turbidity)0.5 NTU강우시 부유물질(진흙-특히장마철)의 유입(※지표수 > 지하수)불쾌감유발하수, 오수, 축산, 공장폐수의 혼입높으면 - 정수(원수의 응집여과 여부결정)정수처리
    리포트 | 4페이지 | 1,500원 | 등록일 2019.10.12 | 수정일 2020.08.12
  • 교류및전자회로실험 실험1 아두이노 복습 예비보고서
    교류및전자회로실험예비레포트담당교수 :학과 :학번 :이름 :목차실험 명2실험 개요2이론 조사2실험 기기4예비보고서 문제풀이6실험 순서8참고 문헌12실험실험 1. ... 트리거 신호의 에지가 주어진 레벨에 도달 할 때, 트리거가 발생한다. “EDGE TRIGGER”를 선택할 때, 입력 신호의 상승과 하강 에지에서 트리거링 한다.5. ... AVR은 중앙처리장치와 소용량 플래시메모리가 하나의 IC에 집적되어 있다.AVR 하버드구조(Harvard a수 있다.아두이노의 가장 큰 장점은 마이크로컨트롤러를 쉽게 동작시킬 수 있다는
    리포트 | 12페이지 | 1,000원 | 등록일 2024.06.22
  • 셀트리온 설비 직무 전기전자공학과 합격 자소서 최신판
    예로, 인체에서 발생하는 미세한 전기신호를 캐치 하는 장비는, 필요한 신호만 왜곡 없이 증폭하고, 신호 처리를 거쳐 우리가 확인할 수 있는 이미지로 인코딩 과정, 체내에서 어떤 반응이 ... 장비가 수리되기를 마냥 기다릴 수 없었고, 대처방안으로 원하는 용액과 비슷한 특성이지만 극성이 더 강한 용액을 사용하였고, 낮은 전압에도 미세한 프린팅이 가능하도록 실험을 재설계 하여 ... 바이오전기전자기초과목에서 역시 여태 배워온 전공 지식들, 신호와 회로, 반도체소자의 물성 등의 지식들을 다양하게 적용하여, 쉽게 수업을 따라갈 수 있었습니다.희망 직무를 수행하기 위해
    자기소개서 | 3페이지 | 3,000원 | 등록일 2022.08.08 | 수정일 2022.09.20
  • 서강대학교 23년도 마이크로프로세서응용실험 11주차 Lab011 결과레포트 (A+자료)
    이를 통해서 최대 어느 주파수까지의 신호를 이 시스템이 처리할 수 있는지 실험적으로 확인해보자.과정, 결론그림 B-1: program 11.4에서 DMA 인터럽트 추가시간 측정을 위해 ... 마이크로프로세서응용실험 11주차 실험 보고서목적- DMA의 동작원리와 구동방법을 이해한다. ... 따라서 최대 주파수가 10kHz 이하인 신호에 대해서 sampling했을 때 reconstruction이 가능하게 된다.하지만 이것은 ADC_SMPR1 레지스터의 SMPx[2:0]을
    리포트 | 24페이지 | 2,000원 | 등록일 2024.03.24
  • 결과보고서(5 복호기부호기)
    컴퓨터 키보드에 있는 10진 숫자들은 데이터 처리를 위해 인코딩된다. 키들 중 하나를 누르면 키가 나타내는 숫자는 이에 대응되는 BCD 코드로 변환된다. ... . 2-to-4 복호기 실험에서의 출력값을 이 실험의 입력선이 되어 나온 출력값은 실험(a)의 입력값과 같이 나왔다. ... 즉, 실험(a)와 (b)는 부호기가 복호기의 반대 기능을 한듯이 실험결과 값이 반대로 나왔다.
    리포트 | 8페이지 | 2,000원 | 등록일 2020.10.14
  • 2021 한양대 일반편입 1명에 합격한 귀한 자소서 입니다. 보물같은 자료이니 잘 이용하시길 바랍니다.
    본교 편입학 후, 우선순위로 ‘생체회로이론’, ‘생체신호처리’를 수강하며 생체신호의 발생 매커니즘을 이해하고 정보를 분석하는 힘을 키우겠습니다. ... 전적대학교 기계공학과에서 역학에 초점을 둔 기계의 구동원리를 학습함과 동시에 수학, 프로그래밍, 물리학 및 실험을 통합적으로 공부하며 단단한 기반을 쌓았고, 공학수학에서는 계산력을 ... 또한, 진단과정에서 이용되는 기기의 원리에 대해 배우기 위해 ‘생체영상처리’, ‘생체 의광학’을 배우며 질병의 진행 경과와 치료 과정을 모니터링하는 기술을 학습하겠습니다.
    자기소개서 | 2페이지 | 20,000원 | 등록일 2021.12.02
  • GPGPU를 이용한 병렬 프로그래밍 실험 레포트
    예비 레포트- 실험날짜 : 2018년 06월 04일- 실험제목 : GPGPU를 이용한 병렬 프로그래밍- 예비이론• GPGPU의 정의, 특성, 구조GPGPU(General-Purpose ... 증폭의 역할을 수행하는 트랜지스터는 집적 회로 내부에서 스위치의 역할을 수행하며, 다른 여러 부품들과 조합을 이루어 신호 처리 및 연산을 수행하는 유닛으로서 기능하기 때문이다. ... 가능한 층과 고정도 연산을 그래픽 파이프라인에 연결하는 것으로 이를 통해 소프트웨어 개발자들이 그래픽이 아닌 데이터에 스트림 프로세싱을 사용할 수 있게 된다.기본적인 회로 구조에서는 신호
    리포트 | 5페이지 | 2,500원 | 등록일 2021.11.08
  • [동역학제어실험]진동 신호의 시간 및 주파수 영역 해석 및 Auto-correlation 과 Spectral density
    이러한 불규칙적인 신호는 수학적으로 명확히 결정되어질 수가 없고 얻어진 신호의 통계적인 특성(property)들을 이용하여 처리해야만 한다. ... 동역학 제어 실험 보고서실험 2 : 진동 신호의 시간 및 주파수 영역 해석 및 Auto-correlation 과 Spectral density2020.9.16.기계공학부서 론1.1 ... 따라서 본 실험에서는 실제로 주파수 분석기를 사용하여 그 사용법을 익힘과 동시에 시간 영역(time domain)에서의 신호가 주파수 영역(frequency)에서는 어떻게 해석되는지
    리포트 | 19페이지 | 4,000원 | 등록일 2021.03.04
  • 아주대학교 논리회로실험 / 9번 실험 RAM 예비보고서
    실험 이론Memory (메모리)메모리의 읽기 동작메모리의 쓰기 동작메모리는 컴퓨터, 기타 신호처리 장치, 디지털 신호 프로세서에 사용되는 데이터와 명령을 일시적으로 저장할 수 있고 ... 9번 실험 예비보고서전자공학과 / 학년 / 학번 : / 이름 :날짜 : / 담당조교님 :실험 9. RAM1. ... (휘발성 실험)
    리포트 | 5페이지 | 1,000원 | 등록일 2021.07.20
  • 인지심리학 지식파트 총정리 (범주화, 원형접근, 본보기 접근, 의미망, 위계적 망, 연결주의 망,뇌에서의 개념 표상등)
    범주화 (Categorization) 처리 사물들을 범주들에 위치시키는 처리2_ 범주화 1. ... 연결주의 : 인지처리과정을 표상하는 컴퓨터 모형을 만들어내는 접근법 2. 병렬분산처리 (Parallel distributed processing, PDP) 3. ... 체화 접근 (embodied approach) 1) 개념에 대한 지식은 우리가 그 물체와 상호작용할 때 일어나는 감각처리와 동작처리의 재활성화에 기초한다고 제안한다 . ex.
    리포트 | 49페이지 | 2,500원 | 등록일 2020.11.22
  • 오실로스코프 및 함수발생기 동작 그리고 다이오드 분석
    실험 : 오실로스코프 및 함수발생기 동작※실험목적1) 오실로스코프와 함수발생기를 사용하여 여러 전압 신호의 크기와 지속시간(주기)을 계산하고 측정한다.2) PSpice를 이용하여 저항 ... 정현파 신호에서 수직 감도 200[mV], 수평 감도 2 [ms]로 설정파형의 주기T=`2.5칸 TIMES 20ms=`5`ms100 [Hz], 0.8Vp-p 구형파 신호에서 수직 ... 같이 회로를 결선(1k의 저항은 측정하지 않았기 때문에 이론값으로 처리)VR (V)0.10.20.30.40.50.60.70.80.9VD (V)0.4680.4960.5180.5310.5430.5520.5600.5660.572I
    리포트 | 7페이지 | 4,000원 | 등록일 2021.10.12
  • 일반물리실험 1 정상파 실험 예비 및 결과 레포트
    실험 중 찢어지면 감점 처리된다.< 중 략 >1. 실험 과정⓵ 공기의 진동(소리)-주파수와 진폭을 바꾸면 소리가 어떻게 달라지는지 확인해보라. ... 전원을 ON하고 주파수와 진폭을 조절하면 그에 해당하는 전기 신호가 스피커에 입력되어 소리가 들린다. ... 실험 기구- 정상파 실험 set(진동기, 스탠드, 줄, 줄자, 원형 철사고리 등), 스마트 폰 등4.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.01.21
  • 화학분석기사 실기 필답 기출문제 정리 (2006년 ~2019년 마지막 시험 전까지)
    전자 전이가 일어나는 전하 이동 전이로 인해 흡수된다.분광광도계의 구조로 (1) (2) (3) (4) (5)가 있다: (1)광원 (2)시료용기 (3)파장선택기 (4)검출기 (5)신호처리기매트릭스 ... 실제로 실험실에서 실험할 수 있을 정도의 양과 형태로 만드는 과정이다. ... 정량 분석할 때 계획하는 실험 과정을 순서대로 배열 하시오.: 분석문제 파악하기 ? 분석방법 선택하기 ? 벌크시료 취하기 ? 실험시료 만들기 ? 반복시료 만들기 ?
    시험자료 | 27페이지 | 2,000원 | 등록일 2020.06.14 | 수정일 2020.07.18
  • 화공기초실험 기체의압력측정결과보고서(전자식 압력 측정과 검량선을 이용한 컴퓨터 데이터 처리))
    화공기초실험 기체의 압력 측정 ( 전자식 압력 측정과 검량선을 이용한 컴퓨터 데이터 처리 ) C ontentsINDEX A. 설계 목적 B. 실험 방법 a. 검량선 작성 b. ... 기체누출에 따른 압력감소 ( 신호 전압감소 ) 를 확인 한다 . 6. ... 획득 컴퓨터에 연결된 DAQ 모듈에 압력측정센서 회로의 신 호 (+) 와 신호 (-) 를 각각 AI0 과 GND 에 연결한다 . 2.
    리포트 | 34페이지 | 2,500원 | 등록일 2022.07.29
  • 한국항공우주산업 합격 자소서
    저는 석사 과정에서 보행 및 운동을 하는 사람들의 생체 신호처리하면서 신호를 추출하는 장비(ARM, Atmega)와 동기화 프로그램(C#)을 개발했습니다. ... 또한, 전체적인 실험프로토콜을 설계했으며 신호 분석(matlab, python) 및 통계 방법(R studio)을 이용하여 데이터 분석을 해봤으며 환자를 위한 보조기기를 설계하고 3D ... 기술의 집약체인 항공 산업에서 설계와 해석은 물론 비행 제어에 필요한 신호처리 및 통계 등 폭넓은 지식을 갖춰야 적재적소에 올바른 해결책을 도출해 적용할 수 있다고 생각합니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.02.15
  • 중앙대 전기회로설계실습 (결과) 7. RC회로의 시정수 측정회로 및 방법설계 A+
    처리 속도를 감안하여야 한다. ... 하지만 주기를 τ로 줄이면 신호는 정상상태에 도달하지 못한 상태에서 신호가 변하는 것을 확인할 수 있다. ... 특히 offset=0인 신호가 입력될 때 저항의 전압을 측정하면 저항의 최대 전압 값은 function generator이 출력하는 신호 진폭의 두 배가 된다.
    리포트 | 8페이지 | 1,500원 | 등록일 2021.03.02 | 수정일 2021.10.22
  • RT PCR (reverse transcription) / 100점 면역학실험 레포트 (원리, 시약, RNA 추출, cDNA 합성)
    TRIzol 처리로 인해 세포막이 파괴되어 균질화된 RAW264.7 cell이다. ... 본 실험에서 PCR을 돌릴 때 사용되었다. ... LPS는 그중 TLR4를 인식한다.PRRs로 인해 활성화된 신호 전달 경로는 NF-kB, AP-1, MAPK와 같은 공통적인 신호 모듈을 따른다.
    리포트 | 16페이지 | 2,500원 | 등록일 2023.04.07
  • 실험2 적외선 센서 결과보고서 (아주대 자동제어실험)
    신호처리하여 물체까지의 거리에 비례하는 전압신호로 변환하여 출력한다.(4) 실험에 사용되는 센서① GP2Y0A21YK (거리측정센서)ⓐ 특징 : 반사된 물체의 색에 대한 영향을 ... 또한 펄스형태의 신호를 사용하여 발광신호와 수광신호의 위상차를 계산하여 센서와 물체 사이의 거리를 측정하는 거리센서로 사용한다. ... 실험분석 및 고찰이번 실험은 자동제어실험 학사 일정에 따라 2주차에 실험2와 실험3을 한꺼번에 하게 되면서, 두 번째 실험에서는 GP2Y0A21YK(거리측정센서)를 사용하여 출력전압을
    리포트 | 6페이지 | 3,000원 | 등록일 2021.07.16
  • 통신공학실습 예비레포트 - 실험09-Frequency to Voltage Converter
    주파수 변화에 따른 신호처리를 하는 여러 시스템이나 계측 장비 등에서 널리 사용되어진다. ... (나) “Lab 4”를 위한 실험 순서 및과)가. ... Materials & Methods (실험 장비 및 재료와 실험 방법) PAGEREF _Toc56375759 \h - 3 - Hyperlink \l "_Toc56375760" 가.
    리포트 | 14페이지 | 2,000원 | 등록일 2021.04.04
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
1:30 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대