• 통큰쿠폰이벤트-통합
  • 통합검색(2,139)
  • 리포트(2,085)
  • 자기소개서(25)
  • 시험자료(20)
  • 논문(5)
  • 방송통신대(2)
  • 서식(1)
  • ppt테마(1)

"논리회로실험 8" 검색결과 361-380 / 2,139건

  • 5장 인코더(Encoder) 디코더(Decoder) 멀티플렉서(Mux) 디멀티플렉서(Demux) 결과
    출력ABC3C2C1C0YY3Y2Y1Y0LLLLLHHHHHHLLLLHLLHHHLLHLLHLHHHHHLHLHLLLHHLHHLLHLLHHHHHHLHLLLLHLHHHHHLLLHHHHHHHLLLHLLHHH☞ 진리표멀티플렉서는 여러 개의 입력 데이터 중에서 하나를 선택하여 출력으로 내보내는 논리회로로써 ... 이 실험에서 헤맸던 점은 앞의 실험과 같은 이유로 실험에 있는 회로 그림과 책 뒤쪽에 Data sheet의 그림과는 달라서 입력과 출력의 선택제어신호인 A와 B를 거꾸로 생각한데다 ... ☞ 진리표디코더는 2진 데이터의 입력이므로 입력이 3개이면 2^3개인 000, 001, 010, 011, 100, 101, 110, 111까지 총 8개의 출력의 경우를 가질 수 있다
    리포트 | 4페이지 | 1,000원 | 등록일 2021.01.06
  • 서울시립대학교 전전설2 1주차 결과레포트(코딩 성공적, A+, 10점 만점 11점)
    디지털 논리 회로이다. ... Results of this Lab (실험 결과)가. Results of Lab 1.1) Dip 스위치와 LED를 이용해 회로 구현실제 구현나. ... Materials & Methods (실험 장비 및 재료와 실험 방법) ‥10가.
    리포트 | 26페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 서강대학교 디지털논리회로실험 레포트 7주차
    이론3-1) countersCounter는 그림 1과 같이 clock에 의해 단일 cycle을 반복적으로 수행하는 순차 논리회로이다. ... 이 사실을 생각한 상태에서 회로를 관찰해 보자. 우선 이전 실험과 동일하게, 첫번째 F/F 에 1Hz의 신호가 들어간 것을 알 수 있다. ... 설계해야 하는 system사진 SEQ 사진 \* ARABIC 8. SM1을 설계한 회로도사진 SEQ 사진 \* ARABIC 9.
    리포트 | 26페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 아주대 전자회로실험 실험4 정궤환 회로 결과보고서
    슈미트 트리거 회로는 입력신호가 들어 왔을 때 특정한 2개의 논리 상태 중 한개의 상태를 선택적 으로 출력시키는 회로로, 2개의 문턱전압(V _{TH},V _{TL})의 값을 통해 ... } over {이론값} RIGHT | TIMES 100%)1k OMEGA +15V13.69V8.73%3k OMEGA +15V13.69V8.73%R[k OMEGA ]-V _{sat}[ ... )V _{TH}[V](실험값)오차율(LEFT | {이론값-실험값} over {이론값} RIGHT | TIMES 100%)1k OMEGA 1.36V1.2V11.76%3k OMEGA 3.46V3.15V8.96%
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.12
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서9
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... 실험 결과결선도회로? ... 실험 결과결선도회로?
    리포트 | 8페이지 | 1,000원 | 등록일 2021.10.24
  • 시립대 전전설2 A+ 3주차 예비레포트
    =’는 논리 부등을 기능하는 연산자로 두 피연산자가 다르면 ‘참(1)’을 반환하고 같으면 ‘거짓(0)’을 반환하게 된다. 3 ! ... 유지 -> always 구문 안에서 사용하드웨어 레지스터, 플립플롭, 래치 등을 모델링하기 위해 사용될 수 있다.reg를 사용하여도 합성의 결과에 따라서 combinational 회로가 ... 와 동일장비에서 테스트 입력 값: A[3:0] = 4’b0011, B[3:0] = 4’b0101-> 출력: Y[3:0] = 4’b0110응용 과제Full adder (1-bit) 회로
    리포트 | 24페이지 | 2,000원 | 등록일 2024.09.08
  • TTL gates 실험보고서
    실험 2: Logic gates-TTL gates와 deMorgan의 법칙1. ... TTL gate중의 open collector gate는 논리 “1”일 때 다른 gate와는 달리 전류를 공급하지 못한다. ... 측정하기 좋은 상태가 되도록 주파수를 바꾸어 입출력 신호를 동시에 scope에 비춘다.4.4. scope 화면의 신호를 그림 8에 그려 본다.
    리포트 | 12페이지 | 1,000원 | 등록일 2021.04.06
  • 서강대학교 디지털논리회로실험 - 실험 7. Finite State Machines 예비 보고서
    디지털논리회로실험예비 보고서[8주차]실험 7. Finite State Machines1. ... [표 2][그림 7][그림 8][그림 9]2) 퀴즈 1번의 회로를 FPGA(schematic)로 구현하여 동작 확인최소화된 상태표 및 카르노맵을 각각 [표 3]과 [그림 10], [ ... 실험 목적1) Finite state machine (FSM) 회로를 설계하고 분석할 수 있는 능력을 갖춘다.2) Mealy와 Moore state machine을 구분하고 각각의
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 전전설2 3주차 실험 결과레포트
    XOR 실험)실제로 버스 스위치1~8과 스위치 1을 4비트 XOR 게이트의 입력, LED1을 4비트 XOR 게이트의 출력으로 봤을 때 실험결과가 4비트 XOR 게이트의 입력에 따른 ... 따라서 LED에 5V의 전압이 공급될 때 “스위치를 눌렀다” 라고 정의하고 LED 안의 설계된 논리도에서는 1로 볼 수 있는 것이다. ... 반면에 LED가 전압을 공급받지 못하고 GND로 강하될 경우 “스위치를 누르지 않았다” 라고 정의하고 LED 안의 설계된 논리도에서는 0으로 볼 수 있는 것이다.
    리포트 | 23페이지 | 1,000원 | 등록일 2021.11.30
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서10
    전문분야에서 발전하도록 도우며, 이 윤리 헌장을 준수하도록 지원한다.위 IEEE 윤리헌장 정신에 입각하여 report를 작성하였음을 서약합니다.학 부: 전자공학부제출일:과목명: 논리회로실험교수명 ... 실험 결과결선도회로? 간격 때문에 LM741을 옮긴 것을 제외하곤 같게 구성했다.결과실험1의 출력을 다시 OP Amp에 넣었다.? ... 즉 8bit라면 8clock(=8번 비교)과정을 통해 변환이 되는 것이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 아두이노 복습 실험 예비보고서
    교류 및 전자회로실험 예비보고서전기공학과 2017732038실험 회차 : 1실험명 : 아두이노 복습실험 1. 아두이노 복습1. ... 값(또는 값의 범위)를 정하고 그 이유를 논리적으로 설명하시오. ... 개요본 실험은 2-1학기 ‘전기및디지털회로실험'에 이어서 친행하는 것으로. 효과적인 실험 진행을 위해 아누이노 활용에 핵심적인 내용을 먼지 복습한다.2.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.04.04 | 수정일 2021.04.08
  • 아주대학교 일반전자공학실험 Digital I/O A+ 결과보고서
    5-2의 555디지털 클락 회로에 7493 4비트 2진 카운터를 삽입하여 회로를 구성하고, 4비트에서 하나씩 늘려가며 LED의 작동을 확인해보는 실험이다. ... 즉 555디지털 클락 회로에서 나오는 사각파의 신호를 카운터에 연결해 숫자를 세려보는 실험이다. ... 사용하기에 적합한 소자인지 파악하기 위해 오차를 구하고, 555디지털 클락 회로를 설계하고 디지털 리더를 이용해 주기와 주파수 등을 구해보는 실험이였다.
    리포트 | 11페이지 | 2,000원 | 등록일 2022.05.14
  • TIMER 기초 실험 예비보고서
    교류 및 전자회로실험 예비보고서전기공학과 2017732038실험 회차 : 2실험명 : TIMER 기초실험 2. TIMER 기초1. ... 결과 보고서실험 결과(1) 실험에서 작성한 각 프로그램의 작성, 실행 및 디버깅 과정을 순서대로 자세히 기술한다.(2) 프로그램 실행 후 회로가 어떻게 동작 하는 지와, 관련된 측정 ... 실험 순서타이머를 이용한 LED 제어(1) 아두이노 우노 보드 디지털 output핀 중 하나에 출력이 OFF이면 LED가 켜지고, 출력이 ON이면 LED가 꺼지도록 회로를 구성한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.03.31 | 수정일 2021.04.08
  • 제11판 기초전자공학실험/ 실험3. 직렬 및 병렬 다이오드 구조
    그림 3-8회로를 구성하라. 저항값을 측정하고 기록하라.- 이론상 저항값은 2.2㏀이고, 측정값은 2.192 ㏀ 이 나왔습니다h. ... 실험 목적- 직렬 또는 병렬 다이오드 구조의 회로를 해석하고, 다양한 다이오드 회로회로 전압을 계산하고 측정한다.2. ... 전압 강하는 0V이지만 전류는 오직 외부 회로, 다이오드의 정격에 의해 제한될 수 있다.- 논리 게이트의 해석은 다이오드의 상태를 먼저 가정하고, 여러 전압의 레벨을 결정하여 결정된
    리포트 | 14페이지 | 2,000원 | 등록일 2022.03.31
  • 아주대 전자회로실험 설계1 결과보고서
    1(SET)과 논리0(RESET) 상태를 일정 주기로 번갈아 하는 회로로서 주로 클록 신호로 사용된다. ... C측정회로1.설계 이론 및 설계된 회로 설명내가 선택한 회로는 비안정 멀티 바이브레이터이다. ... 멀티바이브레이터, 저항 하나 커패시터 하나면 단안정 멀티바이브레이터, 커패시터가 두 개면 비안정 멀티바이브레이터이다.비안정 멀티바이브레이터는 두 개의 출력이 모두 동작 상태가 불안정하여 논리
    리포트 | 5페이지 | 2,000원 | 등록일 2023.06.10
  • 서울시립대학교 전전설2 5주차 예비레포트(코딩 성공적, A+, 10점 만점 11점)
    래치 종류에 따라 입력은 한개 또는 두개를 사용한다.논리 회로 시스템 설계에서 경우에 따라 래치의 입력을 반영할 시점을 조절할 필요가 있다. ... 최적화 코드(if문을 활용한 것과 동일하다.)1:4 DEMUX by ifTest benchSimulation 결과Pin 연결(7) Lab 7 (응용과제)- 다음의 진리표를 가지는 논리회로를 ... 연결- else if문을 활용한 방법3:8 decoder by ifTest benchSimulation 결과Pin 연결(5) Lab 5- 2비트 2:1 MUX 회로를 case 문을
    리포트 | 28페이지 | 2,000원 | 등록일 2020.07.22 | 수정일 2020.09.15
  • 서울시립대 전자전기설계2(전전설2) 4주차 사전보고서
    2019년 전자전기컴퓨터설계실험24주차 사전보고서1. always 구문과 initial 구문의 차이점에 대하여 조사하시오.두 구문 모두 조합논리회로와 순차논리회로의 설계, 설계된 회로의 ... 통해 여러 논리회로를 설정할 수 있다.2. ... 또한 always는 시뮬레이션 시간의 진행에 관련된 제어가 포함되어야 무한 루프, 교착 상태가 발생하기 않게 된다.initial : 논리회로의 설계와 설계된 회로의 시뮬레이션을 위해
    리포트 | 6페이지 | 1,500원 | 등록일 2019.10.13
  • 기초전자회로실험1 5주차 결렙 드모르간정리와 편리한입출력
    De Morgan 정리 - 논리곱을 논리합으로 , 논리합을 논리곱으로 등가하는 법칙 (AND - OR) (OR - AND) - A · B = A + B A + B = A · B A ... 최소 (Min) 1.8V 1.8V 최대 (Max) 2.3V - http://www.fia-uimp.com/china-suppliers-5050-smd-led-rgb-christma-tree-light-p16 ... Pull Up / Down 저항 : 일반적인 스위치 연결에서의 플로팅 상태 를 방지하기 위해 사용되는 저항 ※ 플로팅 (floating) : 회로에서 핀에 5V(1) 또는 0V(0)
    리포트 | 3페이지 | 1,000원 | 등록일 2020.10.07 | 수정일 2020.10.12
  • 아주대 전자회로실험 실험4 정궤환 회로 예비보고서
    슈미트 트리거 회로는 입력신호가 들어 왔을 때 특정한 2개의 논리 상태 중 한개의 상태를 선택적으로 출력시키는 회로로, 2개의 문턱전압(V _{TH},V _{TL})의 값을 통해 High ... :분 반:학 번:성 명:실험4 예비보고서- 정궤환 회로 -1. ... 실험 이론1-1) 슈미트 트리거 회로슈미트 트리거 회로는 정궤환(Positive feedback) 회로로, 다음 그림은 반전 슈미트 트리거 회로이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2021.07.22
  • [전기실험]디지털 공학 실험 레포트 1장(문제풀이)
    모든 입력이 HIGH일 때만 출력이 HIGH가 되는 논리회로가 있다. 이것은 어떤 논리회로인가?AND 게이트17. ... 한 개의 입력이 HIGH이고, 다른 하나의 입력이 LOW일 때, 출력이 LOW인 2-입력 논리회로가 있다. 어떤 논리회로인가?AND 게이트18. ... 한 개의 입력이 HIGH이고, 다른 하나의 입력이 LOW일 때, 출력이 HIGH인 2-입력 논리회로가 있 다. 어떤 논리회로인가?OR 게이트1-4절 시스템 개념19.
    리포트 | 5페이지 | 1,500원 | 등록일 2020.04.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:22 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대