• 통큰쿠폰이벤트-통합
  • 통합검색(617)
  • 리포트(584)
  • 시험자료(15)
  • 방송통신대(12)
  • 논문(4)
  • 자기소개서(2)

"시프트 레지스터" 검색결과 361-380 / 617건

  • VHDL을 이용한 Shift Register구현
    비트의 2진수를 레지스터에 저장하려면 플립플롭이 4개 필요하다. 2진수를 레지스터에 직렬로 입출력할 수 있게 플립플롭을 연결한 것을 시프트 레지스터 (shift register)라고 ... 한 번에 여러 비트를 입출력할 수 있는 레지스터는 병렬로 데이터가 이동한다고 한다.가장 간단한 형태의 시프트 레지스터는 플리플롭의 전합으로 된 것인데, 클럭 또는 시프트 입력에 따라 ... Mux Selector시프트 레지스터시프트 입력이나 클럭에따라 데이터를 한 장소에서 왼쪽이나 오른쪽으로 이동시킬 수 있다.
    리포트 | 7페이지 | 2,000원 | 등록일 2010.12.27
  • 실험4 프로젝트 보고서
    previous_state < 2) | current_state;previous_state = current_state;/* 포트3의 내용을 t에 저장하고 , 상위4비트를 오른쪽으로 시프트하여 ... */unsigned char current_state, t;_tl1 = 0xB5; _th1 = 0xFF; //Timer1의 th1과 tl1레지스터의 초기값을 FF와 B5로 설정.// ... 같은 방식으로 th1과 tl1레지스터//초기값을 FFCE(50uS), FF9C(100uS)로 설정할 수 있다.t = _p3;current_state = (t >> 4) & 0x03;
    리포트 | 26페이지 | 2,500원 | 등록일 2015.12.25
  • atmega32의 inteerupt 활용
    PD3,PB2 포트를 사용하여야 한다.SREG 레지스터-상태 레지스터(status Register)로서 전체인터럽트를 허용하거나 금지시키는대 사용한다. ... 결론I/O PORT 과제때 LED와 7-SEGMENT 출력 시 시프트를 이용하였다. 이번 과제에서는 delay ng Edge를 고려하여 반대로 바꿔 보았다. ... on/off를 제어하는 레지스터이다.MCUCR 레지스터-인터럽트의 요청레벨을 제어하는 레지스터이다. rising edge 와 falling edge 등의 인터럽트 요청 레벨 및 edge를
    리포트 | 13페이지 | 2,000원 | 등록일 2013.12.10
  • Shift Register & Division Circuit
    4111< 4비트 시프트 라이트 레지스터의 동작 >(3) 순환 레지스터순환 레지스터(rotation register 또는 around register)는 시프트 인과 시프트 아웃 데이터가 ... 이는 직렬가산기의 논리에 필요한 레지스터이기도 하다. 시프트 라이트 레지스터의 동작은 시프트 레프트 레지스터의 동작과 반대 방향인 점만 제외하면 동일한 동작을 보여준다. ... 레지스터(Register)레지스터는 Flip-Flop을 사용하여 수치나 명령 등의 정보를 일시 기억해 두는 기억소자로 이용하며, 클록(Clock)을 인가함으로써 한 비트 씩 데이터를
    리포트 | 29페이지 | 3,000원 | 등록일 2010.10.16
  • 논리결과-7-Shift Register
    Shift Register1. 실험목적- 실험에 사용하는 74HC76, 74HC96 IC의 특성을 파악한다.- 시프트 레지스터의 동작 원리와 특성을 이해한다.2. ... 실험결과실험 1. 6bit Shift Right Register(1) 아래와 같이 회로를 구성하라. ... 고찰실험 1은 6bit Shift Right Register의 동작 원리와 특성을 알아보는 실험이다. 회로를 구성한 후에 실험을 진행했다.
    리포트 | 3페이지 | 1,000원 | 등록일 2012.12.23 | 수정일 2013.11.07
  • 컴퓨터 구조 / 오상엽 / 이한 출판사 / 6장 주관식
    시프트에서 좌측 시프트인 경우에는 0이 입력, 우측 시프트인 경우에는 부호 비트와 동일한 값이 입력7. ... -XOR : OR연산에서 2비트가 모두 1인 경우는 0이 된다.시프트 명령-MSB : 논리 시프트는 0, 산술 시프트인 경우에는 부호 비트는 고정-LSB : 논리 시프트는 0, 산술 ... 데이터 전송명령이란 무엇이며, 특성을 설명하시오.데이터의 이동에 관한 명령으로 주기억 장치에서 레지스터레지스터와 입출력장치사이의 데이터 전송을 의미6.
    리포트 | 3페이지 | 1,500원 | 등록일 2011.06.13
  • booth 알고리즘(Booth`s algorithm)
    하드웨어 구성부호 없는 정수 승산기의 하드웨어에 다음 부분을 추가M 레지스터와 병렬 가산기 사이에 보수기(complementer) 를 추가Q 레지스터의 우측에 Q-1(아래 그림상 Qn ... +1)이라고 부르는 1-비트 레지스터를 추가하고, 그 출력을 Q0 와 함께 제어 회로로 입력 ... Booth 알고리즘(Booth's algorithm)원래 곱셈 알고리즘은 시프트 -더하기 곱셈 알고리즘이 있다.
    리포트 | 4페이지 | 1,500원 | 등록일 2011.05.25
  • 마이크로프로세서
    (Register)I . ... 구성되어 있음 ALU (Arithmetic Logic Unit) 제어장치 (Control Unit) 레지스터 (Register) 마이크로컴퓨터 , 마이크로 컨트롤러 , CPU, One ... Register: IR) 마이크로프로세서가 현재수행중인 명령어를 저장하는 레지스터 명령어의 수행이 끝날 때까지 해당명령어를 일시적으로 저장하고 있는 기억장치 메모리에서 읽어온 명령어를
    리포트 | 31페이지 | 4,000원 | 등록일 2011.09.13
  • 컴퓨터구조 / 이한출판사 / 오상엽 / 7장 주관식 문제
    [블록도][연산 종류]데이터의 종류- 수치형 연산 : 4칙 연산을 수행하는 산술 연산과 산술적 시프트를 수행- 비수치형 연산 : MOVE, AND, OR, shift, rotate피연산자의 ... 단항 연산과 이항 연산의 차이를 설명하고 각각의 명령 종류를 기술하시오.단항 연산 - 연산에 사용되는 자료의 수가 한 개뿐인 연산NOT, MOVE, COMPLEMENT, 시프트, 로테이트이항 ... 범용 레지스터와 특수 목적 레지스터의 사용분야를 설명하시오.범용 레지스터 - 일반적으로 다른 여러 가지 목적으로 사용특수 목적 레지스터 - 사용 기능이 정해져 있고, 사용자가 기계어나
    리포트 | 3페이지 | 1,500원 | 등록일 2011.06.13
  • 예비06_Shift Register&Counter
    입력,왼쪽 시프트76543210직렬 입력,오른쪽 시프트병렬 입력직렬 입력,오른쪽 시프트76543210오른쪽 시프트,회전76543210병렬 출력◆ 시프트(자리이동) 레지스터- 내부에 ... 이와 같은 회로는 PC 통신과 같은 하나의 회선으로 데이터를 전달하는 경우에 사용할 수 있다.시프트 레지스터를 사용하여 데이터를 이동시킬 때에는 레지스터 내의 전체 비트 수만큼의 시프트 ... 왼쪽이나 오른쪽의 이웃한 플립플롭으로 1비트씩 이동되어 밀어내기와 같은 동작을 수행하는 레지스터를 직렬 이동(serial moving) 또는 시프트 (shift) 레지스터라 한다.
    리포트 | 8페이지 | 3,000원 | 등록일 2010.11.10
  • Shift Register와 Ring Cuounter
    실험 관련 지식- Register란 Data를 일시적으로 모아 두는 일종의 기억소자이다. ... 양쪽 이동(Bidirectional)또한 시프트 레지스터를 구성하는 플립플롭의 수에 따라 그 수가 4개면 4비트 시프트 레지스터, 8개면 8비트 시프트 레지스터라 한다.- 모든 시프트 ... 즉, 시프트 레지스터에서 마지막 플립플롭의 출력은 첫 번째 플립플롭에 연결된다. 가장 널리 이용되는 시프트 레지스터 카운터는 링 시프트 카운터이다.
    리포트 | 4페이지 | 1,000원 | 등록일 2010.05.23
  • [Flowrian] 디지털 열쇄 잠금 회로의 Verilog 설계 및 시뮬레이션 검증
    4 깊이 시프트 레지스터 : 구조수준 Verilog 설계 및 시뮬레이션 검증- 3 비트 카운터 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 데이터 패스 : 구조수준 Verilog ... .- 4개의 BCD 숫자의 동일 여부 판단 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 4 비트 레지스터 : RT 수준 Verilog 설계 및 시뮬레이션 검증- 4 비트
    리포트 | 36페이지 | 2,000원 | 등록일 2011.10.04
  • Interrupt & Timer
    Special Purpose General Register유저가 프로그램 할 때 레지스터 지정을 위해 사용할 수 있는 키워드는 r0 ~ r15까지이다. ... ARM Status Register 6개 - but 1개의 Status RegisterARM에는 32비트의 스테이터스 레지스터가 6개가 있다. ... 캐리 플래그는 배럴 시프트 결과, 시프트되어 나온 마지막 비트값으로 설정된다.
    리포트 | 38페이지 | 3,000원 | 등록일 2010.10.17
  • 디지털 회로 설계-도어락
    Compare the numbers entered into the register and if the number matched turn on LED. ... -비교/확인 부저장부분의 레지스터와 입력부분의 레지스터에 저장된 값을 비교하여 같을 경우 그 다음 회로를 동작시킨다.IV. 개발 결과의 성능1. ... -메인부분(레지스터)인코더로부터 입력받은 코드 값을 각각 저장시킨다.
    리포트 | 5페이지 | 2,000원 | 등록일 2013.11.01
  • Evaluator-7T를 이용한 7-seg와 LED 제어
    Special Purpose General Register유저가 프로그램 할 때 레지스터 지정을 위해 사용할 수 있는 키워드는 r0 ~ r15까지이다. ... ARM Status Register 6개 - but 1개의 Status RegisterARM에는 32비트의 스테이터스 레지스터가 6개가 있다. ... 캐리 플래그는 배럴 시프트 결과, 시프트되어 나온 마지막 비트값으로 설정된다.
    리포트 | 35페이지 | 3,000원 | 등록일 2010.10.17
  • [디지털 논리회로 실험] 15장. 비동기식 카운터 예비레포트
    선형 피드백 시프트 레지스터라고 불리는 임의의 값을 적재한 플립플롭의 비트열을 클럭펄스마다 이웃의 플립플롭으로 이동해 가는 회로가 기본이 된다.링 카운터링 카운터란 특정 초기치를 플립플롭에
    리포트 | 4페이지 | 1,000원 | 등록일 2016.01.14 | 수정일 2022.10.09
  • 실험4 프로젝트 소스분석 C++ 8051 코드만 분석한 자료
    그 나머지는 v에 저장하고 나서 u를 왼쪽으로 4비트 시프트한다. ... , 앞서 본 IE레지스터의 EX0(Enable External Interrupt 0)를 활성화하여 12번 핀으로 인터럽트를 걸 수 있게 한다. ... 8051 의 SFR 이 정의된 파일#include // 8051 의 인터럽트 번호 정의된 파일☞ 헤더 파일을 불러와 포함시킨다. sfr.h에는 8051프로세서의 SFR(특수 기능 레지스터
    리포트 | 12페이지 | 2,500원 | 등록일 2014.12.16 | 수정일 2015.10.31
  • 결과06_Shift Register&Counter
    실험결과1) 시프트 레지스터A. ... 실험에 대한 고찰이번 실험에서는 시프트 레지스터, 링 카운터, 카운터에 대해 알아보았다. 시프트 레지스터는 클럭에 따라 비트가 한 자리씩 밀려나는 기억 소자이다. ... 이를 병렬 출력이라고 한다.2) 집적회로 시프트 레지스터A.
    리포트 | 6페이지 | 3,000원 | 등록일 2010.11.10
  • 컴퓨터 시스템 구조 7장 연습문제
    수행하는 논리 마이크로 연산- 레지스터에 저장된 데이터에 대해 시프트 연산을 수행하는 시프트 마이크로 연산b. ... 정보를 전송하는 레지스터 전송 마이크로 연산- 레지스터에 저장된 수치 데이터에 대해 산술 연산을 수행하는 산술 마이크로 연산- 레지스터에 저장된 비수치 데이터에 대해 비트 조작 연산을 ... 마이크로 연산 : 레지스터에 저장된 데이터에 대해 수행되는 기본적인 연산으로 디지털 컴퓨터에서흔히 사용되는 마이크로 연산은 다음과 같이 네 가지로 분류 된다.- 레지스터 사이에서 이진
    리포트 | 4페이지 | 1,000원 | 등록일 2011.12.02
  • [토끼] Shift Register, 쉬프트 레지스터의 구현 및 검증
    예를 들어 그림 1-3에 병렬로드 제어기능을 갖는 4비트 레지스터를 나타내었다.그림 1-3 병렬로드 제어기능을 갖는 4비트 레지스터시프트 레지스터자리이동 레지스터(Shift Register ... .4) 양방향 자리이동 레지스터① 단방향 자리이동 레지스터와 다르게 양방향으로 데이터를 시프트 할 수 있는 레지스터를 말한다.② LSI와 RSI의 표시를 유의한다.4.Background ... ■ 레 지 스 터레지스터(register)는 데이터를 저장하기 위해 플립플롭들을 여러 개 모아놓은 회로를 말한다.
    리포트 | 23페이지 | 5,000원 | 등록일 2011.05.30 | 수정일 2020.07.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:07 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대