• 통큰쿠폰이벤트-통합
  • 통합검색(1,998)
  • 리포트(1,871)
  • 자기소개서(67)
  • 시험자료(31)
  • 논문(13)
  • 방송통신대(8)
  • 서식(4)
  • 이력서(3)
  • ppt테마(1)

"디지털공학실험 8" 검색결과 21-40 / 1,998건

  • 5주차 결과보고서 - 디지털 시스템 설계 및 실험 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학디지털 시스템 설계 및 실험 결과보고서이름 :학번 :실험제목7-segment실험목표1. 4bit binary 를 8bit ... 구현된 결과물을 HBE-COMBO II-DLD 보드에 업로드하여 검증실험결과1. 8bits binary to BCDmodule bintobcd(in,w2,w1,w0);input [7 ... BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. Clock을 이용하여 7-Segment를 순차적으로 표현하는 Controller 구현4.
    리포트 | 3페이지 | 1,000원 | 등록일 2020.07.29
  • 광운대학교 전기공학실험 실험3. 부울대수와 논리조합 결과레포트 [참고용]
    디지털 논리회로에서 회로 단순화의 공학적 목적은 비용절감, 처리 속도상승 등이다.5. ... 16 측정결과(7) 예비보고서 7항의 두 회로를 구성하고 실험을 통해 진리표를 작성하라.그림 18 실험7 만능기판 사진도표 8 진리표ABF1F2이론값측정값오차이론값측정값오차00110110010000001000000011110110그림 ... , 정보기술공학부 교육자료, 정논리와 부논리간의 게이트 대응관계 참고
    리포트 | 8페이지 | 1,500원 | 등록일 2024.01.01
  • [정보통신망 D형] 디지털 트윈(Digital Twins)에 관하여 조사하여 설명하고 디지털 트윈을 위해 활용될 수 있는 정보통신 기술에 관하여 서술하시오.
    트윈(Digital Twins)에 관하여 조사하여 설명하고 디지털 트윈을 위해 활용될 수 있는 정보통신 기술에 관하여 서술하시오.목차1. ... )은 디지털트윈 시장의 연평균 성장률을 23.8%로 예측했고, 테크나비오(2019)는 37.7%의 높은 성장률을 제시했다. ... 성숙도 모델로는 가트너의 3단계 모델, ICT기획평가원의 5단계 모델, 공학기술연구소(IET)가 제안한 6단계 모델 등이 있다.산업적 측면에서 디지털 트윈은 당분간 성장세를 이어갈
    방송통신대 | 5페이지 | 4,000원 | 등록일 2023.03.26
  • [기초공학실험] RC회로_RC필터와 정류회로(오실로스코프를 이용한 회로 및 계측실험)
    디지털 오실로스코프 사용법[그림-4 디지털 오실로스코프 사진]#기초 공학 실험(회로 및 계측실험)Control or SwitchFunction1On / Off전원을 키거나 끄기 위해 ... #기초 공학 실험(회로 및 계측실험)2. CR회로 이론적 해석[그림 8] CR회로그림과 같이 외부에서 입력된 전원V_s가 캐피시터를 먼저 지나게 될 때 CR회로라 한다. ... #기초 공학 실험(회로 및 계측실험)회로 및 계측실험담당 교수님조조원실험날짜제출날짜#기초 공학 실험(회로 및 계측실험)INDEXⅠ.서론1. 저항값 읽는법2. 커패시터 읽는법3.
    리포트 | 24페이지 | 3,000원 | 등록일 2021.01.20 | 수정일 2021.02.06
  • 7장 오실로스코프와 파형발생기 결과
    오실로스코프와 파형발생기의 경우 이번 실험 이전에 디지털공학실험 첫 주에 실험을 해 본 적이 있는데다 디지털공학 실험 시 자주 사용했기 때문에 큰 어려움 없이 빠르게 실험을 진행 할 ... 조정하였는지 간단히 설명하라.☞ 채널 1은 함수발생기(파형발생기)에 연결하여 5Vpp, 2KHz의 삼각파가 표시되도록 하고, 채널 2는 PROBE COMP에 연결해서 [그림 7-8] ... 7장, 오실로스코프와 파형발생기 결과보고서1.실험결과7.4.1 오실로스코프 작동[표 7-1] 오실로스코프의 사용법실험과정첨두치(Vpp)주파수주기실험과정 (3)5.121 ㎑1 ㎳실험과정
    리포트 | 4페이지 | 1,500원 | 등록일 2021.01.06
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서4
    :과목명: 논리회로실험교수명:조교명:분 반:학 번:성 명:전자공학실험4. ... 실험1과 마찬가지로 1×8 먹스를 만들었다면 S가 3개(S2, S1, S0) Y가 8개(Y7~Y0)가 필요할 것이다. ... TDMA는 또한 DECT (Digit
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 기계가공 결과보고서
    기계가공 실험의 목적기계가공실험은 기계가공의 기본으로서 안전, 측정, 서반, 밀링, 연삭 등의 능력을 키우고 아울러 제작공정을 이해시킴으로써 이 분야의 공학적 감각을 배양시키고자 하는데 ... 디지털 카운터의 영점을 조정한 후 테이블을 왼쪽에서 오른쪽으로 이동시켜 가공한다. ... 기게 가공의 공학적 감각배양이란 측정원리를 이해하고, 최적절삭조건을 선정하며, 아울러 공정설계 능력과 안전작업의 중요성을 함양시키는 것을 말하며, 더 나아가 기타 기계공학 관련 전공과목의
    리포트 | 11페이지 | 2,500원 | 등록일 2024.04.30
  • 전기및디지털회로실험 실험1 예비보고서
    (6) 위의 (5) 항의 실험을 아날로그 테스터 대신 디지털 테스터를 사용하여 행한다.측정 대상 [DC_V]예상 값 [DC_V]측정 값 [DC_V]오차율 [%]2V2V4V4V6V6V8V8V10V10V저항측정실험 ... 참고 문헌[1] 광운대학교 전기공학과, 전기공학실험, 1p-9p.https://terms.naver.com/entry.naver? ... 기본측정 실험2. 실험 개요아날로그 및 디지털 테스터의 사용법을 익히고 직류전압, 교류전압, 저항값을 측정하며 저항값의 판독법을 습득한다.
    리포트 | 10페이지 | 1,000원 | 등록일 2023.06.30
  • 아주대학교 기계공학기초실험 예비보고서 (학습활동 - QUIZ) 모음
    - 학습활동 (퀴즈) -강의 주제: 통계처리와 측정오차 보정과목명 : 기계 공학 기초실험제출일: 2020년 9 월 27 일실 험 조 명 :반 조작성자 :학번: 이름:점수아주대학교 기계공학과퀴즈1 ... 기초실험제출일: 2020년 10 월 11 일실 험 조 명 :작성자 :학번: 이름:점수아주대학교 기계공학과1. ... NI DAQ USB-6008에는 2개의 포트에 12개의 디지털 라인이 있다. 포트 0에는 8개의 라인이, 포트 1에는 4개의 라인이 있다.
    리포트 | 31페이지 | 2,000원 | 등록일 2021.01.10
  • A+ 중앙대 아날로그및디지털회로설계실습(결과)7. 논리함수와 게이트 할인자료
    중앙대학교 전자전기공학부, “아날로그 및 디지털 회로 설계 실습”, pp 55-60 ... (이 실험의 중요도, 필요성 등을 서술한다.)디지털 신호를 처리하기 위한 게이트, 그리고 이것을 이용한 회로는 디지털 연산의 가장 기본이 되며 중요한 부분이다. ... (공학은 협동으로 이루어지고 발전함으로)전반적으로 만족스러운 실험이었으며 이 실험을 통해 다음과 같은 사항을 배우고 느꼈다. 그리고 다음과 같은 점들이 개선되었으면 좋겟다.
    리포트 | 5페이지 | 1,000원 (10%↓) 900원 | 등록일 2022.09.10
  • 부산대학교 기계공학기초실험및설계 텀프로젝트 보고서
    기계공학기초실험 보고서스마트 서랍 자동화 시스템학과 : 기계공학부학번 :목 차1. ... 실험을 프로그래밍할 때, 디지털 스위치는 0~2의 값만을 사용하도록 프로그래밍하였다.프로그래밍 예시를 들면, 1번을 프로그래밍 할 때, Digital Input의 값을 다 더하면 ... 프로그래밍20 : 기계실험 외형 주문, 제작20 : 기계실험 외형 제작, 보고서 작성1.4 전체 소요 비용- 플라스틱 기어 세트 10개 (큰 기어 26mm/작은 기어 8mm) x
    리포트 | 10페이지 | 3,000원 | 등록일 2021.11.12 | 수정일 2021.11.14
  • 교류및전자회로실험 실험2 Timer 기초 예비보고서
    참고 문헌[1] 광운대학교 전기공학과, 교류및전자회로실험, 8p-9p.[2] 허경용, 『2 ... 교류및전자회로실험예비레포트담당교수:학과:학번:이름:목차실험 명2실험 개요2이론 조사2실험 기기3예비보고서 문제풀이4실험 순서5참고 문헌8실험실험 2. ... 실험 순서- 타이머를 이용한 LED 제어(1) 아두이노 우노 보드 디지털 output 핀 중 하나에, 출력이 OFF 이면 LED가 켜지고, 출력이 ON 이면 LED가 꺼지도록 회로를
    리포트 | 8페이지 | 1,000원 | 등록일 2024.06.22
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서10
    :과목명: 논리회로실험교수명:조교명:분 반:학 번:성 명:전자공학실험10. alog Converter와 Analog Digital Converter 회로의 구성과 동작 원리에 대해 ... ADC(analog digital converter) : 아날로그 신호를 디지털 수치신호로 변환하는 장치로서각종 디지털 신호처그량을 디지털량으로 변환하는 이유 : 디지털 신호들이 아날로그 ... DAC(digital analog converter) :부호화 되어 있는 디지털 신호를 아날로그 신호로변화하는 회로장치. 디지털 음향기기에 반드시 사용되고 있다.?
    리포트 | 9페이지 | 1,000원 | 등록일 2021.10.24
  • 전기전자공학실험(1) - 실험 3) 기본 계측기의 사용법
    실험 목적전기 및 전자공학 실험에 자주 사용되는 계측기의 종류와 사용법 및 주의사항을 익힌다.전류계, 전압계, 테스터, 프로토 보드의 사용법을 익힌다.실험 과정회로의 전압을 및 로, ... V11.97 V고찰브레드보드를 다룸에 있어서는 과거에 사용해본 경험이 있었기에 괜찮았으나, 파워 서플라이와 디지털 멀티미터는 처음 사용하는 것이기에 영상 및 설명을 다 들었음에도 ... mA3.9 mA1.4 mA1.4 mA1.4 mA전 압저 항9V8.9 V8.9 V8.9 V8.98 V8.98 V8.98 V12V11.99 V11.99 V11.99 V11.97 V11.97
    리포트 | 2페이지 | 1,000원 | 등록일 2022.09.25
  • 패리티검사기 설계 결과보고서
    디지털시스템 설계 실습 9주차 결과보고서학과전자공학과학년3학번성명※패리티 검사기 설계1.짝수 패리티 비트가 포함된 8비트를 입력받아 오류가 없으면 ‘0’을 출력하고, 오류가 발생하면 ... 따라서 실험에서 짝수패리티가 포함된 입력(8bit)에 오류가 없으면 ‘0’ 있으면 ‘1’을 출력하는 실험으로 입력을 줄 때 7bit를 주어서 짝수패리티가 포함되었을 때 1의 개수가 ... 실험은 패리티검사기를 만드는 실험이었다.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 전기및디지털회로실험 실험3 예비보고서
    전기및디지털회로실험예비레포트담당교수 :학과 :학번이름 :목차실험실험 개요이론 조사4. 실험 기기예비보고서 문제풀이실험 순서참고 문헌실험실험 3. 부울대수와 논리조합2. ... 참고 문헌[1] 광운대학교 전기공학과, 전기공학실험, 19p-28p[2] 컴퓨터개론, 부울대수, 네이버 지식백과, https://terms.naver.com/entry.naver? ... (8) 예비보고서 8항의 결과를 실험을 통해 확인하라.예비보고서8 회로입력예상값실제값오차율ABCZZZ00010011010101111001101111011110(9) 예비보고서 9항의
    리포트 | 10페이지 | 1,000원 | 등록일 2023.06.30
  • 기계가공 예비보고서
    기계가공 실험의 목적기계가공실험은 기계가공의 기본으로서 안전, 측정, 서반, 밀링, 연삭 등의 능력을 키우고 아울러 제작공정을 이해시킴으로써 이 분야의 공학적 감각을 배양시키고자 하는데 ... 방호가드를 설치하고 올바른 설치 상태를 확인한다.8. 절삭 중에는 테이블에 손 등을 올려놓지 않는다.D. 실험방법밀링머신은 평면가공과 형상가공에 용이하다. ... 디지털 카운터의 영점을 조정한 후 테이블을 왼쪽에서 오른쪽으로 이동시켜 가공한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.04.30
  • [단국대] DAQ실험 레포트 A+
    REPORTDAQ과 목 명:기계공학실험1담당교수:000 교수님소소속속:공과대학 기계공학과학학번번:이이름름:실 험 조:실 험 일:제 출 일:1. ... 실험 요약1.1 실험 목적DAQ(Data Acquisition)시스템의 기본 원리를 배우며,실험을 위한 DAQ 시스템을 이해하자.DAQ의 카운터, 아날로그/디지털 입출력 등에 관한 ... 실험 요약1.1 실험 목적DAQ(Data Acquisition)시스템의 기본 원리를 배우며,실험을 위한 DAQ 시스템을 이해하자.DAQ의 카운터, 아날로그/디지털 입출력 등에 관한
    리포트 | 9페이지 | 3,000원 | 등록일 2023.11.04
  • 교류및전자회로실험 실험3 순차 논리 회로 기초 예비보고서
    교류및전자회로실험예비레포트담당교수:학과:학번:이름:목차실험 명2실험 개요2이론 조사2실험 기기3예비보고서 문제풀이4실험 순서6참고 문헌8실험실험 3. ... 참고 문헌[1] 광운대학교 전기공학과, 교류및전자회로실험, 10-11p.[2] 허경용, 『아두이노 바이블』, (파주 : 주식회사 제이펍, 2021)[3] 홍순관, 『기초전자실험 with ... 회로 구성은 동일하다)(8) T 플립플롭의 이론적인 진리표와 같은 결과(Q)가 디지털 출력으로 나오도록 프로그램을 작성한다.int clock=7, led=13, sw=2;void setup
    리포트 | 8페이지 | 1,000원 | 등록일 2024.06.22
  • 디시털시스템실험 - BCD 컨버터, 7seg 회로, 7seg 순차 컨트롤러 결과보고서
    디지털 시스템 설계 및 실험 KEEE209 전기전자전파 공학디지털 시스템 설계 및 실험 결과보고서디지털 시스템 설계 및 실험 2016 전기전자공학부이름 :학번 :실험제목7-segment실험목표1 ... 라인 디코더를 이용한, 4비트 2진값의 8비트 BCD로 변환하는 컨버터 설계2. BCD 입력을 7-segment로 출력하는 디지털 회로 설계3. ... (선택사항) 가산기와 연결하여 계산결과를 표현하는 7-segment 계산기 구현실험결과실험에서 사용된 코드는 아래와 같습니다..//1// module segment(Ain, Bin,
    리포트 | 6페이지 | 1,500원 | 등록일 2020.11.14
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:08 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대