• 통큰쿠폰이벤트-통합
  • 통합검색(17,921)
  • 리포트(15,650)
  • 자기소개서(1,016)
  • 방송통신대(679)
  • 시험자료(322)
  • ppt테마(139)
  • 논문(82)
  • 서식(21)
  • 이력서(10)
  • 노하우(2)

"디지털발표" 검색결과 21-40 / 17,921건

  • 디지털 영화와 4차산업혁명 (발표자료)
    디지털 영화와 4 차 산업 혁명 Digital Movie The Fourth Industrial Revolution디지털 영화 4 차 산업 혁명 01 디지털영화 02 4 차 산업 혁명 ... * 디지털 역사의 기원 디지털 영화에서 최초로 CG 를 사용한 영화 Tron디지털 영화의 특징 디지털 영화 First Chapter 디지털 영화는 물리적 실재를 필름으로 촬영하지 ... Imagery 디지털 영사기에 의해 상영되는 영화 제작 방식 측면에서의 저예산 영화디지털 영화의 역사 디지털 영화 First Chapter 1970 년대 이후 컴퓨터를 이용한 디지털
    리포트 | 12페이지 | 1,000원 | 등록일 2018.03.23
  • VHDL 디지털시계 발표 자료
    완성했다 처음 디지털 시계를 기말고사를 대신하여 평가한다는 교수님의 말씀을 듣고 VHDL에 대한 얕은 지식만을 가지고 있던 저희는 망망대해를 걸어가는 기분이었습니다. ... else com = 11111111 ; end if; end if; end process; end sample;동 작 화 면 사 진동 작 화 면 사 진제작 후기위의 제작 과정을 통해 디지털시계를
    리포트 | 29페이지 | 1,000원 | 등록일 2014.03.23
  • 디지털공학 최종발표
    다이어트에 빠질 수 없는 런닝머신을 이번 디지털 공학 프로젝트로 정했습니다.런닝머신에 자신이 목표치한 값을 입력 -> 그 값 만큼 모터가 돌 때 운동이 끝나는 것으로 만들었습니다.방학
    시험자료 | 24페이지 | 3,000원 | 등록일 2011.06.09
  • 디지털발표 디지털 논리회로 및 실습Term Project.ppt
    및 보완 12월 7일 : 텀 프로젝트 발표6. ... 디지털 논리회로 및 실습Term Project가위, 바위, 보!목 차1. ... 주제 선정 동기지루하고 딱딱하게 느껴질 수 있는 디지털 텀 프로젝트를 일상 생활 게임 으로 쉽게 이해할 수 있으며, 흥미와 재미와 유발.
    리포트 | 10페이지 | 1,500원 | 등록일 2014.03.26
  • 디지털 시계 설계 발표자료
    디지털 시계 설계목 차1. 서론 및 설계목표 2. 설계계획 3. 설계과정 4. 작동시범 5. 결과 및 고찰 6. 참고문헌*1.
    리포트 | 15페이지 | 1,000원 | 등록일 2012.05.29
  • 디지털통신 프로젝트 발표(psk,qam,디지털 아날로그 비교)
    디지털 시스템의 변조방식 : QAM - 다른 디지털 변조 방식에 비해 성능이 매우 우수하다는 것을 알 수 있음. ... 현재 통신 기술인 디지털 통신 기술을 이해하고 MATLAB으로 구현2. QAM 과 PSK 변조 방식을 비교 하여 성능 파악 3. ... 아날로그 통신 과 디지털 통신 을 비교해보고 장단점을 파악(DSB-SC AM VS PAM)=>음성신호인 wav 파일을 이용하여 원 신호와 복원된 신호를 비교하여 성능 확인1
    리포트 | 19페이지 | 2,000원 | 등록일 2013.06.19 | 수정일 2021.12.21
  • 수자원과 접목된 it 디지털 기술 레포트 발표자료입니다.
    수자원과 접목된 IT, 디지털 기술 소개 - 여건의 변화Ⅰ. 수자원과 접목된 IT, 디지털 기술 소개 - 영상기술Ⅰ. 수자원과 접목된 IT, 디지털 기술 소개 - 영상기술Ⅰ. ... 수자원과 접목된 IT, 디지털 기술 소개 - 나노기술Ⅰ. 수자원과 접목된 IT, 디지털 기술 소개 - 나노기술Ⅰ. 수자원과 접목된 IT, 디지털 기술 소개 - 음파기술Ⅰ. ... 수자원과 접목된 IT, 디지털 기술 소개 - 영상기술Ⅰ. 수자원과 접목된 IT, 디지털 기술 소개 - 영상기술Ⅰ.
    리포트 | 93페이지 | 3,300원 | 등록일 2016.12.14
  • 국립디지털도서관 사례조사 발표 PPT
    이용안내 이용자교육 이용자 디지털 정보 검색실 정보이용공간 - 일반 도서관과 디지털 도서관 기능 구성 비교- 디지털 도서관의 공간별 이용인원 공간 면적 이용 인원 종합영상 음향실 173.16 ... 도서관 기능 구성 비교 - 디지털 도서관의 공간별 이용인원 - 일반 도서관과 디지털 도서관 면적 비율 비교 - 동선 계획일반 도서관 기능구성 전문사무실 ( 수서계 , 정리계 , 서고계 ... 70 명 컴퓨터 실 259.85 70 명 이용자 서비스 공간 2808.0 1000~1100 명 디지털 북카페 196.43 35~40 명 세미나 실 66.12 10~12 명디지털도서관
    리포트 | 40페이지 | 2,000원 | 등록일 2013.11.12 | 수정일 2013.11.18
  • [발표자료] 디지털시계 설계
    설계 목표 수업시간에 배운 Flip Flop, MODn 진카운터를 이용하여 시간 , 분 , 초와 오전 오후 까지 표시되는 디지털 시계를 제작한다 . ... 디지털 시계 제작- 목 차 - 1. 설계목표 2. 전체 시스템 개요 3. Counter 4. Flip Flop 5. 블록별 회로설계 6. Timing Diagram 7.
    리포트 | 28페이지 | 1,000원 | 등록일 2010.06.06
  • 디지털 경영 ERP 만도의 성공사례 발표 자료입니다.
    탑 수상 2008 01.22 한라그룹 만도 인수 2008 05.19 제 43회 발명의 날 대통령표창 수상 2010 05.19 유가증권시장 신규 상장 향후 디지털 ... 경영의 올바른 방향성을 제시하고 이를 효율적으로 달성하기 위한 IT 관리 역량을 제고하기 위해서 만도 고유의 EA를 수립1) 업무의 방향성 제시2) 체계적 디지털 경영을 위해 MEAF
    리포트 | 35페이지 | 1,000원 | 등록일 2014.03.31
  • 디지털실험 텀프로젝트 소스 및 발표자료
    TEXT LCD에 랜덤으로 생성되는 문제를 키보드 입력을 사용해서 풀면 시스템에서 해당 캐릭터가 생성되어 VGA에 출력된다. 이후 키보드 입력를 통해 ‘선물하기‘ 또는 ’대화하기‘ 등의 명령을 수행하여 시스템 상의 캐릭터의 ’기분’ 수치가 일정 치 이상 상승하면(이는 ..
    리포트 | 3,000원 | 등록일 2013.03.22
  • 전자정부론 행정학 레포트 디지털인도주의 테드 피피티 발표
    DIGITAL HUMANITARIANISM PAUL CONNEALLY1 3 4 강연소 개 2 이론설명 사례소개 토론 Contents1 강연소개 강연자 PAUL CONNEALLY - ... * 스마트기기와 디지털 자원봉사자 아이티의 경우 최빈국 중 하나이지만 80% 스마트기기 보유 ! ... 방식 참여의 가능성 확대 빅데이터를 적극 활용하여 디지털 인도주의 달성2 교과서 적용 빅데이터 정의 1.
    리포트 | 18페이지 | 3,500원 | 등록일 2015.05.19 | 수정일 2016.06.08
  • 디지털 컨텐츠 조사 발표 ppt 자료.
    디지털 컨텐츠는 기존의 컨텐츠를 디지털화(digitizing)하거나, 컨텐츠를 제작할 때 디지털 형태로 제작하는 것을 말한다. ... 디지털 컨텐츠목차디지털 컨텐츠 정의 및 분류 디지털 컨텐츠의 정의 및 범위 디지털 컨텐츠 산업의 분류 디지털 컨텐츠의 특징과 중요성 디지털 컨텐츠 산업 동향 웹케스팅 온라인게임디지털 ... 컨텐츠의 정의 및 범위디지털 컨텐츠의 정의와 범위 디지털 컨텐츠는 상기한 컨텐츠를 디지털화하여 제작, 유통, 소비하는 산업이다.
    리포트 | 20페이지 | 1,000원 | 등록일 2010.11.17
  • 디지털 워터마킹 프로그램 및 발표 ppt / 영상처리, 이미지파일 포함/ 기말과제
    미리보기 참고하시기 바랍니다
    리포트 | 32페이지 | 2,000원 | 등록일 2016.11.18
  • 마이크로컨트롤러 설계 - 디지털도어락 관련 피피티 발표자료
    2014. 12. 24 Micro processor (Digital Doorlock )1. 작품 선정 배경 2. 구성 및 작동원리 3. 설계 4. 최종 작품사진1. ... 작품 선정 배경 Atmega128 스위치 LCD RC 서보모터 Digital Door lock2. 구성 및 작동 원리 1. 제어부 -Atmega128 모듈 2.
    리포트 | 14페이지 | 1,000원 | 등록일 2014.12.26
  • 디지털시계 발표자료
    디지털 전자 시계 (시계, Stop watch, 요일)-구성 설명 및 제작기목 차디지털 시계 개요 블록 다이어그램 요점 부분 설명 추가 기능/보완 점 완성 사진1. ... 디지털 시계 개요일정한 Clock 발생된 Clock을 나눔 나눠진 신호를 초, 분, 시 단위로 카운트 각 단위 별로 7 segment 에 출력 시 단위를 이용 오전/오후10M Hz1
    리포트 | 31페이지 | 1,000원 | 등록일 2007.12.10
  • 논리회로-디지털시계 설계 텀프로젝트 발표자료
    ..PAGE:1Digital logic designTerm project11조..PAGE:2topic..PAGE:3Topic : 디지털 시계..PAGE:4Design process.
    리포트 | 15페이지 | 1,000원 | 등록일 2013.12.22
  • 부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) 발표PPT포함
    부경대 디지털시스템설계실습 VHDL로 구현한 디지털시계 (EP1C6Q240C8) ->시간표시,시간설정, 알람, 스톱워치,요일표시중간, 최종발표PPT포함
    리포트 | 4,000원 | 등록일 2016.02.17 | 수정일 2019.06.10
  • VHDL을 이용한 디지털 시계구현 발표자료
    20001741 김응일Digital clock system designCopyright@20001741 김응일 all rights reserved.123System flow chartSource
    리포트 | 22페이지 | 2,000원 | 등록일 2010.12.21
  • [PPT][발표][보너스팁][A+] 서울디지털대학교 템플릿
    좋은 발표하시길 진심으로 응원합니다{nameOfApplication=Show}
    ppt테마 | 16페이지 | 1,500원 | 등록일 2016.07.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 16일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
2:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대