• 파일시티 이벤트
  • 유니스터디 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,739)
  • 리포트(4,118)
  • 자기소개서(324)
  • 시험자료(182)
  • 논문(60)
  • 방송통신대(51)
  • 서식(4)

"모듈 이론" 검색결과 21-40 / 4,739건

  • 화합물 분자모델링
    실제 용도에 따른 분자모델링 방법(계산 모듈 분류 방법)1) 구조 최적화- 주로 단분자나 제한된 수의 분자들을 중심으로 에너지가 최소화되는 점을 찾는 계산 방법- 단일 분자의 최적 ... 서론물성의 예측에 사용되는 분자모델링 기법에는 물리적 이론과 실제적 용도에 따라서 구분될 수 있다. 물리적 이론에 근거하여 구분하면 분자역학과 양자역학의 방법이 있다. ... 밀도범함수이론(DFT)1) 정의- 물질, 분자 내부에 전자가 들어있는 모양과 그 에너지를 양자역학으로 계산하기 위한 이론의 하나이다.- 컴퓨터를 사용하는 과학 계산들 중에서 가장 널리
    리포트 | 4페이지 | 3,000원 | 등록일 2022.05.14
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 3주차 예비+결과(코드포함) Verilog HDL
    -테스트벤치 모듈⇨HDL 모델을 시뮬레이션하기 위한 Verilog 모듈이다. ... -Primitive Modeling, Behavioral Modeling 방법으로 회로를 설계하고 Testbench code를 활용하여 작동을 확인한다.나.이론적배경-Verilog ... DUT에 인가될 시뮬레이션 입력(stimulus)을 생성하는 구문이자, 시뮬레이션 대상이 되는 모듈(Design Under Test; DUT)의 인스턴스이다.
    리포트 | 7페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 부산대 어드벤처디자인 결과보고서 2주차 A+보고서 1등보고서
    실험 이론2-1. 아날로그 입력 신호마이크로컨트롤러는 다양한 센서들과 연동하여 외부 환경을 인지한다. ... 실험 도구Mega25601개가변저항1개온도센서(LM35)1개스피커 모듈1개Bread 보드1개PC1개표1. 실험 기기4. ... 앞서 실험 이론에서 설명한 [수식 1]에 따라서 프로그램을 설계하고 Serial.println함수를 이용하여 온도 값을 측정하였다.5-2. 두 번째 실험 방법그림 2.
    리포트 | 6페이지 | 1,500원 | 등록일 2022.03.25
  • 성인간호 통증 요약
    통증이론통증에 대해 문화적 다양성이 존재하고, 통증에 대한 잘못된 믿음은 최대한 없앤다.관문조절이론: 통증 조절체계 존재 정리. ... 통증과정 변환(transduction) 전달(transmission) 조절(modulation) 지각(perception)통증자극이 인지되는 과정 변환→전달→조절→지각1) 변환 = ... 통증인지에 대한 심리적 요인의 역할을 처음으로 제안한 이론말초에서의 큰 직경섬유의 자극은 교양질에서 관문을 닫음으로써 통증의 전달을 억제함4.
    시험자료 | 5페이지 | 2,500원 | 등록일 2020.12.25
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 예비 레포트 Combinational Logic 1
    배경 이론··········································33. ... B0" https://hizino.tistory.com/entry/verilog-%EB%AA%A8%EB%93%88%EA%B0%80%EC%A0%B8%EC%98%A4%EA%B8%B0 모듈인스턴스 ... 배경 이론always 구문과 initial 구문의 차이점-always: 시뮬레이션 하는 동안 계속 동작한다.
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 전자전기컴퓨터설계실험2(전전설2) (3) Logic Design using Verilog HDL
    Module(1)[사진 2] 베릴로그 HDL 모듈[사진 3] 베릴로그 HDL 모델링의 예시(게이트 프리미티브를 이용한 모델링, 반가산기 회로)[사진 4] 베릴로그 HDL 모델링의 예시 ... Module(2) (31)2. 실험 방법 및 실험 결과 (35)2.1. AND Gate (35)2.2. ... 실험 이론 (03)2.1. HDL (03)2.2. Verilog (03)Ⅱ. 본론 (03)1. 실험 장비 및 사용법 (03)1.1. Verilog HDL (04)1.1.1.
    리포트 | 84페이지 | 2,000원 | 등록일 2019.10.11 | 수정일 2021.04.29
  • 우리는 왜 자신을 속이도록 진화했을까 PPT
    초조함 통제 인지 부하자기기만의 9 가지 범주 자기부풀리기 남폄하 내집단 / 외집단 권력 편향 도덕적 우월성 통제 착각 편향된 사회이론 거짓 개인 서사 무의식 모듈자기 부풀리기 동물의 ... 사람은 무작위 자료에서 의미 있는 패턴을 보는 경향이 있다 .편향된 사회 이론 사회이론 : 자기 주변의 사회적 현실에 관한 이론 우리는 무의식적으로 편향된 사회 이론을 만들어낸다 . ... 우리는 왜 편향된 사회 이론을 가질까 ?
    리포트 | 21페이지 | 5,000원 | 등록일 2023.12.20 | 수정일 2023.12.21
  • NCS 모듈(알짜 요약)
    리더십 이론① 변혁적 리더십(이순신) - 리더가 추종자들의 가치관, 태도, 의식 변화시킴.② 거래적 리더십 - 리더가 원하는 욕구 ←교환→ 부하가 계획한 결과 달성③ 카리스마적 리더십
    리포트 | 26페이지 | 3,000원 | 등록일 2020.03.07 | 수정일 2020.06.26
  • 인하대 전자회로실험1 PWM을 이용한 LED, 모터 제어 결과보고서 [tinkercad]
    결 과 보 고 서학 과학 년학 번조성 명실험 제목기본이론라이브러리소프트웨어 개발시 사용되는 Non-volatile resource들을 파일로 저장한것으로 필요필요할 때 불러서 사용한다 ... 아두이노에서는 오픈소스 H/W 및 S/W의 제공으로 아두이노 디바이스 상에 사용하고 싶은 센서나 구동부에 대해 표준라이브러리를 제공한다.PWM(Pulse Width Modulation
    리포트 | 6페이지 | 1,000원 | 등록일 2020.10.19
  • 결과보고서4_디지털통신2_Block Coding and Decoding
    배경 이론 --------------------------------------- p.32. ... 고찰 ------------------------------------------- p.20배경 이론Block Coding and Decoding의미블록 코드는 고정된 코드 길이를 ... TIMS PCM코드 단어는 표준 오프셋에 있다.비트 클록 신호는 TTL레벨 신호여야 한다.샘플링 이론에 따라 Vin과 CLK를 신중하게 선택해야 한다.비교를 위해 3가지 종류의 비트
    리포트 | 20페이지 | 2,000원 | 등록일 2021.09.23
  • 결과보고서1_디지털통신2_PWM and PPM
    고찰 ------------------------------------------- p.16배경 이론PWM(Pulse Width Modulation)의미PWM은 신호를 변조하는 방법의 ... 배경 이론 -------------------------------------- p.32. ... PDM(Pulse Duration Modulation)이라고도 불린다.특징여러 주파수를 이용해야 하므로 PAM보다는 필요한 대역폭이 높다는 단점이 있다.
    리포트 | 16페이지 | 2,000원 | 등록일 2021.09.23
  • 결과보고서7_디지털통신2_대역확산(DSSS)
    배경 이론 --------------------------------------- p.32. ... 고찰 ------------------------------------------- p.15배경 이론DSSS(Direct Sequence Spread Spctrum)의미원래 신호에 ... 모듈이다.
    리포트 | 15페이지 | 2,000원 | 등록일 2021.09.23
  • NCS 조직이해능력 모듈형 요약 자료
    I. 조직이해능력1)1. 직업인의 조직 생활(1) 조직의 의미1) 조직: 두 사람 이상이 공동의 목표를 달성하기 위해 의식적으로 구성된 상호작용과 조정을 행하는 행동의 집합체2) 조직의 특징① 목적과 구조가 있으며, 목적을 달성하기 위해 구성원들은 서로 협동적인 노력을..
    리포트 | 23페이지 | 3,200원 | 등록일 2019.09.29 | 수정일 2019.11.17
  • CATIA V5를 이용한 CAE 해석 교안
    /실험 해석의 두 가지 방법으로 접근 ④ 이론/실험 해석의 두 가지 방법으로 접근CATIA V5의 CAE 모듈의 정리CAE 목적: 자연 현상을 수학적 모델로 근사화 하여 자연 현상과 ... CATIA V5에 탑재 된 CAE 모듈은 각 역학 책의 기본 공식을 이용하여 계산 됨. - Linear Static 해석에서는 유용함. ... 간단 정리 - 굽힘이론 간단 정리 – 단면 성질이론 간단 정리 – 굽힘 공식이론 간단 정리 – 재질 표CAE 방법 및 오차 확인100N5mm7mm처짐량 공식처짐량 계산Stress
    리포트 | 27페이지 | 2,500원 | 등록일 2021.02.25
  • 르 꼬르뷔지에 자료 롱샹성당과 찬디가르
    이노 이론은 Domus(라틴어:'집')과 Innovation(혁신)의 합성어로 집을 빠르고 많이 보급하기 위한 설계 방식이다.평평한 3개의 수평 바닥에 6개의 기둥으로 골조를 지탱하고 ... , 르 꼬르뷔지에는 이 방식을 이용해 파괴된 건물들을 다시 지을 때, 과거의 방식을 그대로 사용하는 것이 아닌, 효율적인 건축 방식을 만들어내는데,이것이 돔-이노(Dom-Ino) 이론이다.돔
    리포트 | 4페이지 | 1,000원 | 등록일 2021.09.23 | 수정일 2022.04.25
  • 펄스 부호 복조(PCM Decoding)
    위해 곱해 지는 100kHz의 Carrier signal C(t)와 sin, cos , TTL, 8.3kHz TTL, 2kHz sin signal을 출력해 주는 모듈 ... - 실습에서는 8.3 sample clock 클럭신호를 발생하는데 사용2) BUFFER AMPLIFIERS : 입력신호의 진폭을 조절해서 출력해주는 모듈 - 실습에서는 필터링을 거친 ... 신호의 진폭을 조절해줄 때 사용3) PCM Encoder : 아날로그 입력 신호를 PCM 신호로 인코딩해주는 모듈4bit linear, 7bit linear, 4bit compand
    리포트 | 8페이지 | 1,000원 | 등록일 2022.05.15
  • 간호관리학 직무관리정리표, 조직구조 유형 정리표 A+++
    적극적 동기유발, 책임감, 자주성부여직무특성이론?개인 간의 차이에 의한 다양성을 고려하여, 자신의 직무의 의미와 책임감을 경험하고 활동결과를 인식하도록 설계하는 이론? ... 간호사능력에 따라 환자들의 혜택이 다름모듈방법?일차간호 + 팀간호?전문직 간호사와 간호보조인력이 팀을 이루어 간호?간호사는 환자의 입원기간동안 책임을 짐?
    리포트 | 6페이지 | 3,000원 | 등록일 2022.11.01
  • NCS 기반 교과목 강의계획서 작성 양식(서식) 할인자료
    둘리출판사, 2021 (책 저자, 책 제목, 출판사, 출판 연도) 부교재 마이클, 모발과학, 또치출판사, 2020 (책 저자, 책 제목, 출판사, 출판 연도) 참고교재 NCS 학습모듈 ... 기타 직무명 및 NCS 능력단위 직무명 능력단위 능력단위코드 학습모듈 헤어미용 응용 헤어 퍼머넌트웨이브 1201010108_14v2 유 / □ 무 능력단위 능력단위 요소 수행준거 및 ... 이론강의 ... 실습 ... 13 ... 수업목표 ... ... 이론강의 ... 실습 ... 14 ... 수업목표 ... ... 이론강의 ...
    서식 | 4페이지 | 1,000원 (5%↓) 950원 | 등록일 2023.03.17
  • NCS 대인관계능력 모듈형 요약 자료
    1. 대인관계능력의 의미와 중요성 (1) 대인관계능력의 의미 1) 직장생활에서 협조적인 관계를 유지해 조직구성원들에게 도움을 줄 수 있으며 조직 내부 및 외부의 갈등을 원만히 해결하고 고객의 요구를 충족시켜줄 수 있는 능력 (2) 인간관계 형성 있어 가장 중요한 것 1..
    시험자료 | 24페이지 | 3,000원 | 등록일 2019.09.28 | 수정일 2019.11.17
  • 텀프로젝트 RC카 마이크로프로세서 Atmega128
    관련 이론(4.1) 사용한 부품① ATmega128② DC 모터③ 모터 드라이버 (L298N)④ 블루투스 모듈 (HC-05)⑤ 조이스틱(4.2) 사용한 기능① I/O PORT② Timer ... 전류가 이미터로 빠져나오게 되면서 모터가 정방향으로 동작- 브리지 회로에서 역방향으로 모터 동작 시, 정방향과 반대의 단자에 전류를 흐를 수 있게 해주어 역방향으로 제어④ 블루투스 모듈
    시험자료 | 32페이지 | 10,000원 | 등록일 2023.12.15
AI 챗봇
2024년 09월 03일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:23 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대