• 통큰쿠폰이벤트-통합
  • 통합검색(503)
  • 리포트(464)
  • 자기소개서(25)
  • 논문(8)
  • 시험자료(6)

"스텝모터 제어기" 검색결과 21-40 / 503건

  • 부산대학교 제어시스템 예비2 보고서 A+
    실험목적앞 실험에서 구한 AC모터의J/K _{0} ,`C/K _{0}를 사용하여 근 궤적 선도를 그린 뒤, 적당한K _{p} ,K _{D} ,K _{I} 을 찾아 step응답곡선을 ... 이를 결과1에서 구한 전달함수를 사용하여 PID제어기가 적용된 AC서보모터의 이론적인 step응답곡선을 구해보고 P,I,D의 역할을 확인해보았다. ... } over {s ^{3} +s ^{2} +K _{p} s+K _{p} /T _{i}} d(s)PI 제어시스템이 안정하다면, 즉 특성방정식의 모든 근이 실수부를 갖는다면, 이 때 스텝입력에
    리포트 | 9페이지 | 2,000원 | 등록일 2020.06.08
  • 제어시스템설계 설계과제 레포트
    모터가 적은 비용으로 짧은 시간에 step 입력에 대한 목표치에 도달하게 하는 제어기를 설계한다.2. 모터를 가능한 오래 사용할 수 있는 (oscillation이 작게. ... 모터가 적은 비용으로 짧은 시간에 step 입력에 대한 목표치에 도달하게 하는 제어기를 설계한다.2. ramp 입력에 대한 오차가 작은 제어기를 설계한다.2. 제한 요소A.1. ... 제어기를 추가했을 때의 Step Response와 Root Locus는 다음과 같다.nump=[1 147];denp=[1];sysp=tf(nump,denp);step(sys);rlocus
    리포트 | 8페이지 | 2,500원 | 등록일 2020.09.02
  • 아두이노 DC모터+STEP모터 결과레포트
    스텝모터 제어 실습결과- STEP 모터 모듈 1A, 1B, 2A, 2B와 D40, D41, D42, D43과 연결한다.- STEP 모터가 제대로 연결이 되어 있으면 스텝모터가 회전하는 ... DC모터 제어 실습1-1. ... DC모터 제어 원리- 모터를 ON/OFF 제어할 때의 기본회로에는 몇 가지가 있다.(1) 트랜지스터 구동(이미터 부하)위 그림의 회로에 의해 트랜지스터를 On/Off함으로써 모터
    리포트 | 17페이지 | 1,500원 | 등록일 2021.12.28
  • 아주대학교 자동제어실험 5번 실험 / 스텝 모터 및 RC 서보모터 / 결과보고서
    스텝 모터 및 RC 서보모터1. 실험 목적본 실험에서는 스텝 모터와 서보모터의 사용법에 대해 다룬다. 모터는 전동기, 우리가 흔히 알고 있는 발전기의 그것이다. ... 스텝 모터 / 기자재가 없어 해당 실험은 진행하지 않았습니다.구동방식펄스수예상 각도 변화실제 각도 변화각도 오차HalfFull결선도 및 회로도작성 도표1. ... 때문에회전각을 정확하게 제어할 수 있다.2DC서보모터제어하기 위해 모터를 구동시키는 드라이버가 필요하며,이때 PWM, Direction 신호 등이 필요하다.3RC서보모터는 내부에
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.06
  • DC모터의 위치 제어기 설계
    서론1) 설계주제DC 모터의 위치 제어기 설계2) 설계 목적servo motor의 gain과 작동 시간 등의 효율을 높이기 위해 제어기를 추가 설계하고 모터의 생산성과 내구성을 비교하여 ... 생산성과 내구성 : 1) 가능한 빠른 시간 내에 목표치(스텝 입력)에 도달하도록 해야 한다. 2) 모터를 가능한 오래 사용할 수 있는 제어기를 설계해야 한다. ... 경제적 제한조건 : 모터에 인가되는 증폭기의 용량을 가능한 적게 하여 비용을 낮게 한다. (K값을 가능한 적게) b.
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.09
  • 경북대 모터제어 및 로보틱스
    상의 수에 따라 모터step각 등의 기본 특성이 달라진다.http://www.e-motor.co.kr/MOTOR/TEXT.htm장점단점1. ... 그리고 스테핑 모터스텝 모터, 펄스 모터 등으로 불러지기도 하는 모터로서 이것을 직역하면 보진 전동기 또는 계동 전동기라고 할 수 있습니다. ... exec=read&table=B_sewoo_DC_MOTOR&BOARD_CD=&USR_ID=&ad=Y&page=1&str1=&str2=&str3=&str4=&str5=&str6=&str7
    리포트 | 12페이지 | 1,000원 | 등록일 2020.04.27
  • 진동 및 메카트로닉스 자율주행 실험 보고서
    사용하여 적절한 구동시스템을 구축하고 위치, 속도를 명령으로 제어시킬 수 있는 모터를 서보모터라고 한다. ... step 경로가 A*알고리즘의 경로이다.경로추적트리로 경로를 해석해본 결과 8step에서 도착점을 통과한다.직관적으로 최단경로를 해석했을 때보다 2step이 더 많게 나왔다.비고 및 ... 제어목표값을 지시받고 검출기를 통해서 현재값을 검출하여 목표값 대비 현재값의 차이가 항상 작게 만드는 피드백 회로로 구성되어있다.실험 장치터틀봇(Lidar, 주행기능)ROS kinetic을
    리포트 | 7페이지 | 1,500원 | 등록일 2021.12.08
  • 현재 자동차에 실제 사용되는 각종 센서를 제시하고, 필요성과 측정원리에 대해 간단히 서술하시오.
    바이패스 방식은 또한 ISC 모터 방식과 스텝모터 방식의 2가지로 나눌 수 있다.즉 제어방식에 따라 튜비제어 방식(ISC 모터방식)과 스텝제어방식이 있는데, ISC 모터방식은 ... 듀티 30~40 이고, 스텝모터는 4~14 스텝상태가 공전상태때의 규정값이다. ... 즉, 실린더별로 점화시기를 제어할 수 있으므로 노크가 발생하는 실린더만을 선택적으로 점화시기를 지각시킬 수 있다는 것이다.듀얼 스파크 점화코일 (duel spark i류의
    리포트 | 20페이지 | 3,000원 | 등록일 2023.03.16 | 수정일 2023.03.20
  • 제어계설계 Term Project
    실험 제목Matlab simulink와 arduino를 이용한 servo motor의 각도 변화에 따른 potentiometer 출력 전압의 각도에 대한 calibration.2. ... 실험 이론(1) 풍력 터빈속도 제어기풍력 발전기는 바람으로 터빈을 움직임으로써 발전기를 통해 운동 에너지를 전기 에너지로 변환시키는 장치이다. ... time이 0(s)이고 final value가 1인 step input을 받는 controller의 Block Diagram Model을 그린다.
    리포트 | 15페이지 | 1,000원 | 등록일 2021.05.17
  • PID 실험 진동및메카트로닉스 실험 보고서
    이때 U상의 위치는 애매하므로 float 상태로 둡니다. step 2의 홀센서 신호를 조합하면 HC/HB/HA : 100 이 됩니다.같은 방식으로 6 step을 돌고 나면 제자리로 ... 전류를 흘려주면 회전자가 회전하게 됩니다.두 번째 step 역시 마찬가지입니다. ... HIGH (1) 라고 가정.첫 번째 step 부터 살펴보면 회전자가 반시계 방향으로 회전하기 위해서 고정자 U, V, W 상은 각각 어떤 자속을 발생시켜야 할지 보겠습니다.
    리포트 | 12페이지 | 3,000원 | 등록일 2020.06.27 | 수정일 2021.03.15
  • 식물 수확기 로봇의 대표적 사례
    그리퍼는 멜론을 잡는 핑거, 핑거를 구동하는 스텝모터, 스텝모터의 회전운동을 직선운동으로 변환하는 직선운동 가이드와 직선운동 베어링, 직선운동 베어링의 상승과 하강을 핑거의 회전운동으로 ... 수확용 선단장치는 그리퍼, 커터 그리고 제어기로 구성되었다. ... 측정하기 위한 기계시각과 높이센서, 그리퍼의 파지력을 제어하기 위한 퍼지제어기 등으로 구성된 상추 수확 로봇 시스템을 개발하였다.
    리포트 | 17페이지 | 3,000원 | 등록일 2023.11.02
  • 부산대학교 제어시스템 결과3 보고서 A+
    이 실험을 통해 앞섬보상기에서 ‘영점은 미분제어기의 역할을, 극점은 적분제어기의 역할을 수행한다’라는 사실을 알 수 있었다.하지만 이론적으로 구한 step응답곡선을 결과가 다르게 나왔다 ... 결론 및 고찰앞섬보상기의 영점과 극점을 변화시켜가며 bode선도에서의 phase margin의 변화를 관찰하고 보상기가 적용된 AC모터의 응답곡선, 이론적인 step응답곡선을 비교해 ... 실험내용- 영점(a)와 극점(b)를 변화시켜가며 실험을 통해 실제 AC모터의 응답곡선을 구하고, 이를 이론적인 step응답곡선과 비교해 본다.- 보상기는 142{{s} over {a
    리포트 | 5페이지 | 2,000원 | 등록일 2020.06.08
  • 자동제어 크레인컨트롤러 설계 프로젝트
    하면, 제어기의 파라미터 값을 선정하여야 한다. ... 0.3294로 이전의 다른 제어기들로 얻은 값들에 비해 훨씬 작아졌음을 알 수 있다. ... 이런 파라미터 값은 대체로 제어기를 구성하는 한 개 또는 그 이상의 전달함수의 계수이다.
    리포트 | 25페이지 | 1,000원 | 등록일 2020.12.13
  • (응용공학실험) LabView를 이용한 서보모터 제어 (A+자료입니다)
    다음 그래프는 Unit step (R=1) 기준 입력에 대한 PID 제어 시스템의 응답 특성을 잘 보여준다.※ 서보모터(Servo Motor)의 구동원리① 서보(Servo)의 정의서보는 ... (K _{P}=2 고정,K _{D}=0.02 고정,K_{ I}=2,3,4으로 변화시킨다.)☞ 각 step에 대하여 파형을 관찰하면서 기준 값에 도달하는 지를 확인한다.모터 RPM실험 ... 이것을 피드백 제어라고 하며, 서보기구의 본질이라고 할 수 있다.② Servo Motor의 분류- DC Servo Motor아래의 그림은 영구자석 측을 고정하고 도선측이 회전하는 구조이다
    리포트 | 31페이지 | 3,000원 | 등록일 2020.02.01 | 수정일 2021.04.22
  • 부산대 기계공학기초실험 텀프로젝트 보고서(A+)
    본론2.1 이론적 배경 및 채택 이유Stepping Motor펄스 모터, 스텝 모터라고도 불리며, 모터축의 회전이 동작신호 1 펄스당 정해진 스텝 각도씩 움직이는 모터이다. ... 셀광센서의 일종으로 조사된 빛의 강약에 따라 내부의 저항값을 변화시켜 흐르는 전류의 크기를 제어하는 부품이다. ... 이 장치는 입력 펄스의 제어로 회전각도 및 속도의 제어가 용이하고, 회전각도의 미세한 조정 또한 가능하기에 빛의 발원지인 태양을 정확하게 추적할 수 있다고 판단하여 이 장치를 활용하였다.Cds
    리포트 | 5페이지 | 4,000원 | 등록일 2021.06.11
  • 모터제어 레포트 (제어용기기)
    스테핑 모터4.1 스테핑 모터란?일명 스텝 모터, 펄스 모터, 임펄스 모터, 스테퍼 모터 등으로 불려지고 있다. 이를 번역하면 보진 전동기 또는 계동 전동기라고 할 우 있다. ... 2019학년도 제어용기기 레포트애니매트로닉스에 사용되는 모터(서보모터 & 스테핑 모터)Motors used for animatronics(Servo motor & Stepping motor ... 1) 서보의 어원‘slave’나 ‘servant’의 의미를 가지며 명령에 충실하게 추종하는 제어모터를 의미하고 있다.3.2 DC서보모터와 AC서보모터1) 서보모터의 종류-DC서보모터-AC서보모터
    리포트 | 17페이지 | 2,000원 | 등록일 2021.11.11
  • A+ 중앙대 아날로그및디지털회로설계실습 족보 시험자료, 02 03 04 05 06 07 08 09 10
    [실습 03]-스텝 모터▪ 1-2상 여자방식 -> 스탭각이 반으로 줄고 1회전당 10스탭 필요한 모터를 이용해 구동할 경우 20펄스 구동하는 것과 같게 된다. ... [실습 05]✅ 전압제어 발진기✅ 적분기 회로둘 다 모두 선형적인 식이 나오게 되고 기울기는 저항들에 의해서 결정되게 된다. ... 래치 (NAND)-> 클락 신호로 다음 상태로 출력이 바뀌는 시간을 제어함✅ JK래치✅ Master/slave 플립플롭✅ Edge-triggered 플립플롭
    시험자료 | 16페이지 | 2,000원 | 등록일 2024.03.13 | 수정일 2024.03.20
  • [전기전자실험]로보틱스 실험보고서
    또한 일반 DC모터의 소음은 콘덴서를 모터의 양 단자에 연결하여 줄일 수는 있지만, 정교하게 동작하는 비행제어기나 전자변속기의 오동작을 초래할 수도 있다.Brush를 사용한 DC 모터와는 ... 스텝모터의 장점은 펄스에 의해 회전이 결정되므로 제어가 쉽다는 것이다. 하지만 서보모터보다 토크가 작아서 강한 회전력을 필요로 하는 곳에는 서보모터를 사용하는 게 좋다. ... 하지만 기계적 기구인 Brush가 하던 일을 다른 방식으로 해주어야 하니 전자변속기라는 별도의 제어기가 필요하게 된다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.12.17 | 수정일 2023.11.27
  • 에스피지 기업 분석 자료
    : 동력모터 / BLDC / 스텝모터 공급- 자동화 창고 시스템 : BLDC / 스텝모터 공급- 산업용 로봇시스템 : SR감속기 / SH감속기 공급? ... [에스피지 기업 분석 자료]시가총액 : 3,582억 원PER : 14.2배- 정밀 제어모터, 감속기 부품 업체- 세계 25개국 수출 / 세계 10대 감속기 업체- 가전부품용 일반 ... - 정밀 제어모터 + 기어 박스 결합- 무거운 것 운반하거나 들어올릴 수 있는 힘 제공- 표준 AC 기어드 모터 : 57% → 1위- 표준 DC 모터 : 33%→ 당사 출범 이후
    리포트 | 11페이지 | 3,500원 | 등록일 2022.08.05
  • 제어공학 프로젝트 (서보모터 위치제어) (건국대학교) (A+자료)
    = {34.908} over {0.00938s ^{2} +s}num=[34.908];den=[0.00938 1 0];t=0:0.01:500;step(num,den,t)Plant Unit ... sec/rad전기자 저항Ra1.4Ω전기자 인덕턴스La0.0027H모터의 이득상수Km4.189(1/kb)모터의 시정수Tm0.00938(RaJm/ktkb)? ... cm정격 전압75V정격 전류5A모터축에 대한 관성모멘트Jm3.14×10-4kg?m2토크 상수kt0.196N?m/A역기전력 상수kb0.2387V?
    리포트 | 10페이지 | 1,500원 | 등록일 2020.06.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:15 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대