• 통큰쿠폰이벤트-통합
  • 통합검색(1,503)
  • 리포트(1,389)
  • 시험자료(61)
  • 자기소개서(30)
  • 방송통신대(12)
  • 논문(6)
  • 서식(3)
  • ppt테마(1)
  • 기업보고서(1)

"시뮬래이션" 검색결과 21-40 / 1,503건

  • [알기쉬운 기초 전기 전자 실험 (문운당)] 05. 전압배율기 결과보고서 (A+)
    특히 종속 반파 2배 전압기에 무부하, 부하가 달렸을 때의 시뮬과 실험의 값은 서로 약 2~3배 차이가 난다는 것을 볼 수 있다. ... 아래와 같이 정리하면위와 같은 결과가 나왔고, 분석해보면 각 조건에 따라 도출된 리플 파형은 실험이나 시뮬이나 모양은 굉장히 유사하다. ... 분석 (실험 결과의 증명)이번 실험은 전파, 종속 전압배율기를 통하여, DC 전압을 측정하고 입출력 파형을 관찰하는 실험이다.전파 2배 전압기 실험 회로와 종속 반파 2배 전압기를
    리포트 | 8페이지 | 1,500원 | 등록일 2023.12.31
  • 생태계 위해성 2급 핑크뮬리
    추가적인 식재는 자제하라는 환경부의 공문이 있었다고 한다. 제주시와 서귀포시는 잠재된 위험성을 특히 심각하게 여겨 핑크뮬리를 모두 제거하고 있다고 밝혔다. ... 고작 몇 년 만에 핑크뮬리 수입량이 급격히 늘자, 환경부는 이에 핑크뮬리의 생태계 위해성 평가를 실시한 것이다. 결론은 2급으로 판정이 나 경과를 지켜봐야 한다는 입장이다. ... 핑크뮬리는 현재 생태계 위해성 2급을 평가받으며 환경부가 주시하고 있다. 먼저 생태계 위해성 2급이란 무엇인가?
    리포트 | 1페이지 | 1,000원 | 등록일 2020.11.19
  • [알기쉬운 기초 전기 전자 실험 (문운당)] 02. 접합 다이오드의 특성 결과보고서 (A+)
    , 시뮬값은 27.39mA가 나왔으며 거의 11mA 차이가 났다. ... 순방향이고 0.65V로 1N4148의 전류를 측정한 결과 1.982,47mA가 나왔으며 시뮬값인 0.98673mA와 거의 1mA 차이가 났다. 1N60도 마찬가지로 실험값은 16.020,6mA ... 이걸 벌크 저항이라고 하는데 P와 N 영역의 저항의 합이며, 범위는 이론적으로 1~25[Ω]라 하니 실험 결과값도 그 범위 안에 포함되었다.
    리포트 | 10페이지 | 1,500원 | 등록일 2023.12.31
  • 2022 한양대 편입 자소서 학업계획서 에너지공학과 합격
    여러 음극재에 따라 시뮬레이팅하는 연구를 접하였습니다. ... 컴퓨팅적사고, 문제해결과 프로그래밍 수업을 수강하며 데이터를 기반으로 현상을 시뮬레이팅하는 것에 매력을 느꼈습니다. ... 친환경에너지 분야에 흥미를 느낀 저는 컴퓨터 시뮬레이팅을 이 분야에 활용할 수 없을지 적극적으로 탐구하였고, 그러던 와중 전기차에 이용되는 리튬이온 전지의 이온 간 반응 메커니즘을
    자기소개서 | 3페이지 | 10,000원 | 등록일 2022.07.20
  • 23장 달링턴 및 캐스코드 증폭기 회로 예비보고서
    ↓시뮬레이션 결과Psice 시뮬레이션 측정 결과Q2N3904 BJT 모델 특성을 확인하면 로 나와있다.따라서 이론값을 계산하면,이론값과 시뮬값 은 근사하다.실험방법 및 유의사항이번 ... 회로 특성상 입력 인피던스는 높고 출력임피던스는 낮아야 하며 이론값 또는 시뮬값과 달라도 특성에는 맞아야 한다.캐스코드 회로의 DC Bias를 계산한다. ... 조정회로 시뮬레이션 결과시뮬이론151.1uA147uA50mA50mA49.85mA49.853mA6.217V6.3V5V5V1.217V1.3V=Ω0.52Ω를 가 되도록 조정하여 직류 Bias
    리포트 | 11페이지 | 1,500원 | 등록일 2022.05.01 | 수정일 2022.10.27
  • 자동제어 ramp입력
    외란이 없을 때의 ramp입력 값을 넣었을 때 시뮬링크이다외란이 없을 때 u값이다.외란을 포함한 시뮬링크외란을 step함수와 출력 y값 과 입력 r(ramp) 의 그래프여기서 보면 ... 들어왔을 때 그래프가 흔들리지만 결국에는 y값이 입력값r 을 따라가는 것으로 보인다.u의 그래프외란에 의한 변형된 부분이 들쭉날쭉하게 있으며 외란을 제거하기 위한 그래프의 움직임이라
    시험자료 | 8페이지 | 2,000원 | 등록일 2020.12.12
  • [전기전자요소설계] DC-AC 인버터 회로 결과 발표
    DC-AC 인버터회로 DC-AC inverter circuit 발표 순서 실험 목적 실험 결과 실험 시뮬 마무리 2 실험의 목적 실험의 배경 ( 이론 ) 인버터 구형파와 PWM 실험 ... 2) G4( 노랑 2) 실험 결과 및 분석 실험 결과 9 신호파 60Hz 반송파 1kHz 신호파 120Hz 반송파 1kHz 신호파 60Hz 반송파 2 kHz 실험 결과 10 실험 시뮬 ... 위상 신호파 ( 정현파 - 빨강 ) 60Hz, 180 ° 위상 신호파 ( 정현파 - 파랑 ) 1kHz 반송파 ( 삼 형파 - 초록 ) PWM1 - 빨강 PWM2 - 파랑 실험 시뮬
    리포트 | 16페이지 | 1,000원 | 등록일 2021.11.08
  • 전자회로실험2_15장 복합구조
    [표 15.3]계산값7.16V15.45V8.05V시뮬값7.04V15.85V7.84V측정값%오차1.68%2.59%2.61%표준저항값을 사용했지만 퍼센트 오차가 일반적으로 10% 미만인가 ... 그 결과를 순서 4(b)의 계산값과 비교하라.VGS(시뮬값)= -0.80VVGS(계산값)= -0.89VVGS(측정값)=측정값으로부터 전압 QUOTE 를 결정하고 표준저항값을 이용하여 ... QUOTE QUOTE (시뮬값) = 6.34/1.2k = 5.24mA QUOTE (계산값) = 6.46/1.2k = 5.38mA QUOTE (측정값) =참고문헌[1] HYPERLINK
    리포트 | 11페이지 | 4,000원 | 등록일 2023.11.30
  • 전자회로응용및실험 A+ 실습과제 한양대 에리카
    직접 AC 시뮬을 통해 얻은 DC gain 값은 56.31dB이므로 차이가 나는 것을 확인할 수 있다.5. ... 직접 AC 시뮬을 통해 얻은 DC gain 값은 40.70dB 이므로 차이가 나는 것을 확인할 수 있다.5. ... 직접 AC 시뮬을 통해 얻은 DC gain 값은 64.27dB 이므로 차이가 나는 것을 확인할 수 있다.100mA인 경우, 수식으로 계산한 결과, 435.072, 52.77dB라는
    리포트 | 23페이지 | 5,000원 | 등록일 2024.09.10
  • 디지털 회로 실험 및 설계 - Multiplexer, DeMultiplexer 실험, JK Flip Flop 순차회로 실험 1
    논리상태 작성표 (Pspice 시뮬 결과 10us까지)InputOutputSELECTSTROBEG'YBAXXHX00L001L110L111L0실험4) 1-to-4 DeMUX를 74LS139로 ... 논리상태 작성표 (Pspice 시뮬 결과 10us까지)InputOutputSELECTSTROBEG'Y0Y1Y2Y3S1S0XXHXXXX00L011101L101110L110111L1110실험5 ... 논리상태 작성표 (Pspice 시뮬 결과 10us까지)InputOutputSELECTDataD3D2D1D0S1S0Y00000000010001010000001100101000000101010011000001111000실험3
    리포트 | 19페이지 | 3,000원 | 등록일 2023.09.22
  • 아주대 전자회로실험 실험1 부궤환 회로 결과보고서
    )A _{v} = {V _{out}} over {V _{"in"}}(실험)Phase[DEG ](시뮬)Phase[DEG ](실험)outputinput시뮬실험시뮬실험10kΩ10kΩ10V9.94V4.984V4.94V2.0062.0120.0 ... )A _{v} = {V _{out}} over {V _{"in"}}(실험)오차율(LEFT | {시뮬값-실험값} over {시뮬값} RIGHT | TIMES 100%)10kΩ2.0062.0120.30% ... °30kΩ6.667V6.60V4.991V4.94V1.3361.3360.0°-0.3°R _{R} [k OMEGA ]A _{v} = {V _{out}} over {V _{"in"}}(시뮬
    리포트 | 2페이지 | 1,000원 | 등록일 2022.03.12
  • 전자회로실험2_27장_차동 증폭기 회로
    한쪽 출력을 측정하여 기록하라.VOc(측정값) = 5.37V공통모드 전압이득을 계산하라.순서 2(a)에서 계산한 전압이득을 2(b)와 2(c)에서 측정한 전압이득과 비교하라.계산값시뮬값차동 ... DC 전원(10 V와 -10 V)을 복원한 다음 DC 바이어스 전압을 측정하라.트랜지스터 Q1과 Q2에 대하여:시뮬값Q1Q2Q3VB0V0V-5.0356VE-645.517mV-645.517mV ... 5.6997VC5.0737V5.0737V-645.517mV[Q1와 Q2의 와 의 값은 동일하다.]트랜지스터 Q3에 대하여:순서 3(a)의 계산값과 3(b)의 측정값을 비교하라.계산값과 시뮬값이
    리포트 | 15페이지 | 4,000원 | 등록일 2023.11.30
  • 중환자실 실습 자료, 널싱네러티브, 성인간호학 임상 포트폴리오
    포뮬라의 뚜껑에는 환자들마다 당뇨표물라, 특수표물라, 일반포뮬라라고 적혀있었으며 1500/4 와 같은 숫자도 다르게 적혀있었다. ... 또한 시술시 겨험하게 될 감각이나 과정에 대하여 정보를 제공했다. 환자의 표정은 한결 편안해 보였고 안심된다고 표현하였다. ... 포뮬라와 물컵, 약을 준비했다.
    리포트 | 1페이지 | 3,000원 | 등록일 2020.02.29 | 수정일 2024.04.03
  • 32장, 33장 위상편이 발진기, 윈브릿지 발진기 예비보고서
    ∴교재와 강의에 나온 회로로 시뮬레이션을 계속 시도했지만 시뮬레이션 파형을확인할 수 없었다 ↓회로1 시뮬↓회로2 시뮬↓인터넷을 참고해 수정한 윈브리지회로,=↓시뮬레이션 결과 =발진주파수 ... ∴조건1⇒발진 주파수 계산:=↓1주기: 1.5985msT : 1.5329ms∴발진주파수: 1/T =∴이론값과 시뮬값 주파수차이는↓출력 파형 : 4.56mV33장 발진기회로2: 윈브리지 ... :이론주파수와의 차이는 59%로 차이가 크다-커패시터 값을 1nF에서 10nF으로 변경했다.↓10nF으로 수정한 회로↓시뮬레이션 출력파형∴시뮬 T:108us -> f=1/T= 9.26kHz
    리포트 | 9페이지 | 1,500원 | 등록일 2022.05.01 | 수정일 2022.10.27
  • 광고 비평_안다르와 뮬라웨어의 광고를 중심으로_워드 4페이지 분량
    이러한 운동에 대한 필요성이 증가하면서 떠오르게 된 시장이 바로 요가복 시장이다.안다르와 뮬라웨어는 대한민국에 운동 트렌드가 정착하면서 발전하게 된 대표적인 요가복 브랜드로서 함께 ... 바로 요가복 브랜드 '안다르'와 '뮬라 웨어'이다. ... 말 그대로, 움직임에는 어떠한 제약도 없다는 이 단어가 뮬라웨어를 설명하는 대표적인 문구라고 생각한다.뮬라웨어는 19SS 시즌부터 건강한 이미지의 배우 '이하늬'를 대표모델로 내세워
    리포트 | 4페이지 | 1,000원 | 등록일 2020.03.22 | 수정일 2020.04.16
  • BDU 한국의문화유산 문화유산 답사 계획서 리포트
    2인 (어머니와 함께)장소 경주 교통수단 시외버스, 차일정[1일차]부산서부버스터미널 > 경주시외버스터미널 > 나들한옥 한옥스테이(숙소) > 가봉반과 > 도솔마을 > 첨성대 > 핑크뮬리 ... 정성스레 빚은 모양인 화과자는 보늬밤앙금, 남해유자를 넣은 앙금, 보성 말차 등 국산 식재료를 활용하여 만든다. ... 경주시외버스 터미널 > 부산서부버스터미널[1일차]▶ 나들한옥 한옥스테이주소 : 경상북도 경주시 쭉샘길 4-1 나들한옥체크인 : 오후 3시 이후체크아웃 : 오전 11시▶ 가봉반과주소
    리포트 | 7페이지 | 2,500원 | 등록일 2024.08.04
  • 5주차_4장_예비보고서_반파및전파정류
    출력 파형 Vo의 직류값에 대해서 계산값과 측정값을 결정하고 비교하라.Vpeak(계산값) = VVpeak(시뮬값) = VVDC(계산값) = VVDC(시뮬값) = V(전파 정류 신호의 ... 출력 파형에 최대와 최소값을 표시하라.VDC(이론값) =VDC(시뮬값) =c. ... 그림 4-18에서 파형의 직류값을 계산하라.VDC(시뮬값) = 17.914VDC(실험값) = [실험에서 측정]j.
    리포트 | 18페이지 | 4,000원 | 등록일 2023.11.30
  • 비효과적 말초조직관류 간호과정, 비효과적 말초조직관류 간호진단
    필요 시, 처방된 진통제를 투여하고 진통제의 효과를 사정한다.9. BST를 측정한다.10. ... ⇒ 휴뮬린 8unit29. 12PM342⇒ 휴뮬린 8unit30 12AM352⇒ 휴뮬린 10unit29 4PM320⇒ 휴뮬린 8unit30 4AM322⇒ 휴뮬린 8unit10. ... 퇴원 시 대상자의 원활한 조직관류가 유지되어 하지 통증이 NRS 2점 미만으로 측정된다.간호계획 및 수행간호계획1. 대상자의 활력징후를 측정한다.2.
    리포트 | 5페이지 | 1,500원 | 등록일 2021.05.10 | 수정일 2023.05.26
  • 아주대 전자회로실험 실험4 정궤환 회로 결과보고서
    -3.35VR[k OMEGA ]+V _{sat}[V](시뮬값)-V _{sat}[V](시뮬값)+V _{sat}[V](실험값)-V _{sat}[V](실험값)1k OMEGA 14.78V14.78V13.69V ... )V _{TL}[V](시뮬값)V _{TH}[V](실험값)V _{TL}[V](실험값)1k OMEGA 1.34V-1.34V1.2V-1.33V3k OMEGA 3.41V-3.41V3.15V ... -14.5V3k OMEGA 14.77V-14.82V13.69V-14.5VR[k OMEGA ]V _{TH}[V](시뮬값)V _{TH}[V](실험값)오차율(LEFT | {이론값-실험값}
    리포트 | 7페이지 | 1,000원 | 등록일 2022.03.12
  • 아주대 전자회로실험 실험7 Output Stage 결과보고서
    117.97mV143mV21.22%V _{I(p-p)}시뮬레이션 값실험값LEFT | {시뮬값-실험값} over {시뮬값} RIGHT | TIMES 100%500mV320.17mV363mV13.38% ... } over {시뮬값} RIGHT | TIMES 100%V _{S(p-p)}166.93mV163.8mV1.88%V _{I(p-p)}200mV196.5mV1.75%V _{H(p-p)} ... E5V4.9568V0.86%F-5V-5.0257V0.51%H27.56mV-7.36mV73.29%S36.52uV-7.56mV20600%Node시뮬레이션 값실험값LEFT | {시뮬값-실험값
    리포트 | 10페이지 | 1,000원 | 등록일 2022.03.12
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
10:40 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대