• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(83)
  • 리포트(81)
  • 시험자료(2)

"실험12 멀티플렉서" 검색결과 21-40 / 83건

  • 전전설2 실험1 결과보고서
    gnd와 14번 핀에 전원을 연결해준다.③ 스위치를 하나 더 추가하고, 스위치와 4.7kΩ 저항을 연결하고 전원과 gnd와 연결해준다.④ 7486의 3번 핀을 7486(XOR)의 12번과 ... 출력값이 0과 1의 입력값에 의해서만 결정되는 회로- 정보를 기억하는 회로를 가지고 있지 않은 게이트들의 집합예 : 덧셈기, 반가산기, 전가산기, 디코더, 인코더, 멀티플렉스, 디멀티플렉서순차회로 ... 실험 목적TTL을 이용한 논리 회로 구성을 이해하고 다음과 같은 내용을 포함하여 실험 및 설계 능력을 함양한다.- OR 게이트논리 회로 실험- XOR 게이트논리 회로 실험- 반가산기
    리포트 | 8페이지 | 2,000원 | 등록일 2022.11.30
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 인코더도 인에이블을 가지면 멀티플렉서의 기능으로 사용할 수 있다. 0과 1의 조합에 의하여 어떠한 기호라도 표현할 수 있도록 부호화하는 역할을 하며, or게이트로 이루어져 있다. ... 2진수로 표시한 것이다.0~7까지는 (000~111)과 같이 3비트의 2진수로 표시가 되지만 8(1000),9(1001)를 2진수로 표현하려면 4비트가 필요하다. 10진수로 10,11,12,13,14,15를
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • [합격자료]의공기사 필기 및 실기 쾌속 암기정리 노트
    무기물 유기물 밀도 [g/cm ^{3}]1) 근거리 음장X _{0} `=` {(직경D) ^{2}} over {4 lambda } ,`` lambda `=` {음속} over {주파수}12 ... 인장-압축, 마모, 경도, 파괴인성, 피로, 크립시험- 표면특성은 광학현미경이나 전자현미경으로 형태와 구조를 평가한다.- 생체 기능성 평가는 생체와 동일한 온도와 습도를 유지하면서 실험적으로 ... > : 복수개의 입력선으로부터 필요한 데이터를 선택하여 하나의 출력선으로 내보낸다< 디멀티플렉서 > - 데이터 분배회로로 사용: 하나의 입력이 들어왔을 때 스위치에 의해 여러 방향으로
    시험자료 | 19페이지 | 2,500원 | 등록일 2023.09.07
  • [전기실험]디지털 공학 실험 레포트 1장(문제풀이)
    전기실험전기실험디지털 공학 실험 레포트 1장(문제풀이) 홀수 문제의 정답은 책 끝 부분에 있다.1-1절 디지털 양과 아날로그 양1. ... 그림 1-61의 디지털 파형에 대해 듀티 사이클을 구하라. → 50%12. 그림 1-62의 파형에 대한 비트열을 구하라. ... 산술 기능 중 하나이다.c) 데이터 선택 기능 중 멀티플렉서에 해당한다.c) 크기비교는 비교기라 불리는 논리회로에 의해 수행된다.20. 10 kHz의 주파수를 갖는 펄스 파형을 카운터에
    리포트 | 5페이지 | 1,500원 | 등록일 2020.04.20
  • [예비레포트] 멀티플렉서를 이용한 조합논리
    1 실험제목: 멀티플렉서를 이용한 조합논리2 실험 목적멀티플레서를 이용한, 비교기 및 패리티 발생기 회로의 구성 및 시험N-입력 멀티플렉서 하나를 이용한 ,2N개의 입력을 갖는 진리표의 ... 회로 구현시험회로에서의 가상적 결함에 대한 고장진단.3 준비물(실험용 기기 및 부품)74151A멀티 플렉서/데이터 선택기7404HEX인버터LED1개저항3301개 1.0K4개4 관련이론멀티플렉서란 ... 그러므로 첫 번쨰 줄에 나타낸 것과 같이 데이터는B1’에 연결되어야 한다 마지막열에 0,1,B1’을 적어 표를 완성하라데이터를 이용하여 보고서의 긤 12-4에 주어진 회로도를 완성하라
    리포트 | 4페이지 | 1,000원 | 등록일 2019.07.22
  • (예) 12. 멀티플렉서를 이용한 조합 논리
    디지털 논리 회로 실험예비 레포트(실험12 멀티플렉서를 이용한 조합논리)실험의 목적? 멀티플렉서를 사용하여 비교기와 패리티 발생기 구성 및 회로 테스트.? ... N-입력 멀티플렉서를 사용하여 2N개의 입력을 갖는 진리표 구현.? 테스트 회로에서 모의실험 결함의 고장 진단.실험의 개요? ... 다른 방법은 이론요약에서 설명한 8:1멀티플렉서를 사용하는 것이다. 실험보고서의표에 비교기에 대해 일부분만 완성한 진리표를 나타내었다.
    리포트 | 5페이지 | 1,000원 | 등록일 2015.12.11
  • 디시설 - 멀티플렉서, 디멀티플렉서 설계
    실험에서는 병행기술문인 When~else 문을 사용하여 멀티플렉서를 설계하였 다. ... when~lese문 대신 병행 기술문인 with~select~when문이나 순차 기술문인 case~when 문을 사용해도 동일하게 동작할 것이다.- when~else문: 코드의 12 ... 결과 보고서( 멀티플렉서, 디멀티플렉서 설계 )제목MUX, DEMUX 설계실습 목적멀티플렉서는 여러 개의 입력을 하나의 출력으로 전송한다.
    리포트 | 7페이지 | 1,000원 | 등록일 2019.07.20
  • [mahobife]디지털회로실험 멀티플렉서, 디멀티플렉서, RS래치, RS플립플롭 결과보고서입니다.
    멀티플렉서2 X 1 MUX 구성도진리표논리식 :Y``=``barS I_0``+``S I_12 X 1 MUX 회로도실험결과enable 신호추가 회로도실험 결과논리식 :Y``=``barS ... 멀티플렉서와 디멀티플렉서12. RS 래치와 RS 플립플롭결과보고서조교님제출일학 과학 년학 번성 명Ⅰ. 목적1. 멀티플렉서의 의미와 동작 이해2. ... E I_0``+``S E I_12.
    리포트 | 5페이지 | 1,000원 | 등록일 2017.10.09 | 수정일 2017.10.11
  • 멀티와디멀티
    토론 (실험 내용 요약, 결과와 이론 비교)(1)번 실험은 4×1 멀티플렉서를 구성하는 실험입니다. ... 시뮬레이션 결과를 보면 XNOR의 출력결과를 확인할 수 있습니다.(3)번 실험멀티플렉서를 이용한 전가산기회로임을 확인 하는 실험입니다.멀티플렉서를 이용해서 전가산기회로를 설계한 ... 그래서 모두 다 (X)표시가 나옵니다.시뮬레이션 결과를 보면 출력결과를 확인할 수 있습니다.(2)번 실험멀티플렉서를 이용해서 XNOR의 회로를 확인하는 실험입니다. 5V를 넣었을
    리포트 | 10페이지 | 1,500원 | 등록일 2016.11.08 | 수정일 2016.11.10
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [예비레포트]
    : 3개의 입력선과 8개의 출력선을 갖는 디코더그림 SEQ 그림 \* ARABIC 1 2입력 멀티플렉서표 SEQ 표 \* ARABIC 2 2입력 멀티플렉서 진리표SOutput0Z ... Materials & Methods (실험장비및재료와실험방법) ‥‥‥5가. 실험을통해구하고자하는데이터와이를획득하기위한실험순서‥‥5나. ... SEQ 그림 \* ARABIC 9 2bit 2:1 MUX PIN설정 code2bit 2:1 MUX를 Simulation하기 위한 TestBench code는 아래 그림 10,11,12
    리포트 | 15페이지 | 1,000원 | 등록일 2017.10.19
  • 전자전기컴퓨터설계2 HBE-COMBO ll VerilogHDL 실습4 [결과레포트]
    : 3개의 입력선과 8개의 출력선을 갖는 디코더그림 SEQ 그림 \* ARABIC 1 2입력 멀티플렉서표 SEQ 표 \* ARABIC 2 2입력 멀티플렉서 진리표SOutput0Z ... 같다.그림 SEQ 그림 \* ARABIC 12 8bit_ BCD to Exess3 PIN설정 codeHBE_COMBO를 통해 8bit_ BCD to Exess3 code를 구동한 ... 8bit_ BCD to Exess3 code Simulation 결과8bit_ BCD to Exess3 code 를 HBE_COMBO로 확인하기 위한 PIN설정 code는 아래 그림 12
    리포트 | 19페이지 | 1,000원 | 등록일 2017.10.19
  • 전자공학과 디지털 회로 실험 및 설계 텀프로젝트 간이전압계 ppt자료입니다.
    12실험결과 13실험결과 1415{nameOfApplication=Show} ... 및 설계 강의를 들으면서 우리가 배웠던 논리게이트 , 여러 가지 플립플롭 , 멀티플렉서 , 디멀티플렉서 , FND, 카운터 등을 사용하여 만들 수 있는 작품을 찾다가 실제구동에 성공하자는 ... 디지털회로 실험 및 설계 Term Project프로젝트 주제 제작 동기 사용부품 및 공구 동작원리 실험결과 결론 2프로젝트 주제 작품명 : 간이 전압계 3제작 동기 4 디지털회로 실험
    리포트 | 15페이지 | 2,000원 | 등록일 2016.04.06
  • 멀티플렉서와 디멀티플렉서
    결과멀티플렉서와 디멀티플렉서실험결과 및 과정하나의 74153 칩을 사용하여 반가산기를 구성하고, 출력에 LED를 연결하여 동작을 확인하고, 입출력 전압을 측정하라.S _{1}S _{ ... +050000.14.50.12+150050.14.54.52+255004.50.10.12+355054.50.14.53+050500.14.44.53+150554.40.10.13+255504.50.14.53 ... 이번 실험에서 활용된 멀티플렉서의 기본동작원리를 간단히 설명하라.: 실험과정 1번에서는 74153칩을 사용한 반가산기 구성 실험인데 74153칩에는 2개의 2X4(4X1)멀티프랙서가
    시험자료 | 6페이지 | 1,500원 | 등록일 2015.06.23
  • 디지털 로직 실험 멀티플렉서를 이용한 조합 논리
    실험 12 멀티플렉서를 이용한 조합 논리1. ... 실험 목표□ 멀티플렉서를 사용하여 비교기와 패리티 발생기 구성 및 회로 테스트.□ N-입력 멀티플렉서를 사용하여 2N개의 입력을 갖는 진리표 구현.□ 테스트 회로에서 모의실험 결함의 ... 마지막 열에 0,1,B₁,bar{B₁} 중 하나를 기입하여 표 12-1을 완성하여라.3. 표 12-1의 데이터를 사용하여 실험 보고서의 그림 12-4 회로를 완성하여라.
    리포트 | 6페이지 | 1,000원 | 등록일 2015.07.20
  • Decoder, encoder와 multuplexer, demultiplexer 예비 report
    실험절차 및 예상1. 다음 그림 11.1의 회로를 구성하고 표 11.1의 진리표를 실험에 의해 완성하라. ... 이론적으로SN74LS151은 8×1 MUX이다. 8개의 입력 핀은 D0~D7 = 4, 3, 2, 1, 15, 14, 13, 12이고 7번은 Enable 핀으로 High일 때만 TTL이 ... 입력단자를 5V에 접속하고, 출력단자는 직접 또는 5V에 접속하여서 진리표를 작성할 것이다. 7442는 4비트의 BCD를 10진수로 바꾸어 주는 Decoder로써, 4개의 BCD입력은 12번부터
    리포트 | 13페이지 | 2,000원 | 등록일 2015.11.01
  • 12멀티플렉서를 이용한 조합논리-예비,결과보고서
    12멀티플렉서를 이용한 조합논리■ 실험목표이 실험에서는 다음 사항들에 대한 능력을 습득한다.● 멀티플렉서를 이용한, 비교기 및 패리티 발생기 회로의 구성 및 시험● N-입력 멀티플렉서 ... 실험순서 1에서 완성한 진리표를 이용하여 보고서 그림 12-5의 짝수 패리티 발생기에 대한 회로도를 완성하라. ... 이 회로는 그림 12-2(b)의 회로보다 간단하나 동일한 기능을 한다.이번 실험에서는 8:1 MUX 하나를 이용하여 4-입력 진리표 (16개 조합)에 대한 회로를 구현한다.
    리포트 | 11페이지 | 1,000원 | 등록일 2008.11.16
  • 아주대 논회실 논리회로실험 실험4 예비보고서
    실험 과정 및 예상 결과1-11-22-12-2실험 결과 예상5. 회로 결선도출처http://www.alldatasheet.com/Digital Design, John. F. ... 실험 목적-멀티플렉서(Multiplexer)와 디멀티플렉서(Demultiplexer)의 원리를 이해하고 실험을 통해 동작을 확인한다. ... 실험 이론1.멀티플렉서(Multiplexer)멀티플렉서는 여러 개의 입력 중 원하는 입력을 출력으로 내보내는 데이터 선택기이다.
    리포트 | 8페이지 | 1,500원 | 등록일 2014.04.08 | 수정일 2015.04.16
  • 디지털회로실험 11장. 멀티플렉서/ 디멀티플렉서
    멀티플렉서/ 디멀티플렉서목차●실험목적●이론●실험방법●실험데이터●실험순서 및 고찰사항●검토 및 고찰실험목적1. ... 이민수 : 이번 실험멀티플렉서와 디멀티플렉서의 개념을 이해하고 각종 TTL 멀티플렉서 IC의 용도를 익히는 실험이었다. ... 그림 11.12회로에서 표 11-6에 주어진 선택 입력과 데이터 입력에 대응하는 출력상태를 측정하여 해당란에 기입하라.4.
    리포트 | 17페이지 | 1,000원 | 등록일 2014.04.07
  • 결과 리포트 - 비동기계수회로, 동기계수회로, 복호기와 부호기, 멀티플렉서와 디멀티 플렉서
    멀티플렉서와 디멀티플렉서실험 11. ... 결과리포트전자 컴퓨터 실험 1최신 논리 회로 실험실험 11 . 비동기 계수회로실험 12 . 동기 계수회로실험 15 . 복호기와 부호기실험 16 . ... 멀티플렉서와 디멀티플렉서입 력출 력ES1S2I0I1I2I30XX0000+500+5000+50+50+500+5+5000+50+5+5+5000+5*실험 결과 분석실험 11 - 이 실험
    리포트 | 8페이지 | 1,500원 | 등록일 2007.06.16
  • 06인코더 디코더와 멀티플렉서멀티플렉서 결과
    [표 5-1] 그림 5-12 회로의 진리표입력출력ABCY7Y6Y5Y4Y3Y2Y1Y0LLLHHHHHHHLLHHHHHHHLHHLHHHHHLHHHHHHHHLHHHHLLHHHLHHHHLHHHLHHHHHHLHLHHHHHHHHLHHHHHHH입력 ... 처음 실험했을 때는 멀티플렉서와 디멀티플렉서가 연결되어 있지 않은 상태로 봐서 멀티플렉서의 출력 따로 디멀티플렉서의 출력이 따로 나왔지만 두 개를 연결하고 나니 멀티플렉서의 출력이 ... 입력 A, B 가 L면 C0 선택, A=L, B=H 이면 C1 선택, A=H, B=L 이면 C2선택, 둘다 H이면 C3 선택으로 멀티플렉서의 출력이 나온다.이번 실험은 출력이 L일
    리포트 | 4페이지 | 1,000원 | 등록일 2012.11.06
AI 챗봇
2024년 09월 02일 월요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
7:51 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대