• LF몰 이벤트
  • 파일시티 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(4,054)
  • 리포트(3,700)
  • 자기소개서(146)
  • 시험자료(106)
  • 방송통신대(48)
  • 서식(29)
  • 논문(17)
  • ppt테마(6)
  • 기업보고서(1)
  • 노하우(1)

"엘리베이터 설계" 검색결과 21-40 / 4,054건

  • 4층 elevator controller 설계, 엘레베이터 베릴로그 설계 엘리베이터
    < 전기전자기초실험 >설계 프로젝트Ⅱ.◈ 4층 elevator controller 설계 ◈< 제 목 >4층 엘리베이터 컨트롤러 설계 실습< 목 표 >엘리베이터 컨트롤러 설계 실습을 ... 통해 지금까지 습득한 논리 회로 지식을 적용해 보고, 제시된 입력과 동작 기술에 맞게 설계되었는지 검증하는 연습을 해 본다.< 설계조건 >① 4 층 건물의 엘리베이터를 기준으로 설계할 ... 경우이번 실험 때 설계엘리베이터는 위와 같은 조건에서, 보다 먼저 입력 받은 것에 대해 먼저 동작한다.
    리포트 | 12페이지 | 4,000원 | 등록일 2007.11.13
  • VHDL을 이용한 엘리베이터(승강기) 설계 프로젝트
    [VLSI 설계 Team Projet Final Report]과 제 명엘리베이터 설계담당교수제출일2011-12-17팀 원학 번이 름수행과제개요과제 목적및해결하고자 하는 내용수업시간에 ... 서론수업시간에 배운 VHDL언어를 사용하여 우리 주변에 쓰이는 단일 엘리베어터를 설계해본다. 정원초과, 응급버튼, 엘리베이터이동에 중점을 두고 엘리베이터를 구현2. ... 엘리베이터의 이동2. 엘리베이터의 내부, 외부 버튼 제어3. 정원이 초과시 BEEP소리와 함께 엘리베이터 움직이지 않음4.
    리포트 | 19페이지 | 5,000원 | 등록일 2011.12.17
  • 논리회로2 `엘리베이터/엘레베이터` 설계&제작 발표자료
    주 제 조합논리회로 와 순차논리회로 를 응용하여 설계 해본 카운터 를 활용하기 위해 프로젝트 주제로 엘리베이터 를 선정 . ... 문제점 보완 및 브레드 보드 모듈제작 완성 [4 주차 ] 전체 회로 완성 , PPT 제작 완성 , 발표 준비 [5 주차 ][ 엘리베이터 ] - 4 - 2. ... 기말 프로젝트 발표 2009 년 2 학기 - ㅇㅇㅇ ㅇ 조 - - 1 - 논리회로 2목 차 1 일정 - 2 - 2 주제 3 기능 4 설계 5 회로 6 동작화면 7 평가 8 업무분담-
    리포트 | 25페이지 | 1,000원 | 등록일 2010.06.12
  • 엘리베이터 관리 프로토콜 설계 보고서입니다.
    Message Format Example Service Operation Procedure Reference 2010-12-25 2Service Explanation(1) EMP 프로토콜은 Elevator ... CALL 엘리베이터를 원하는 층으로 호출 시 사용 . INFO 전략사용량 및 기타 정보 조회 시 사용 . CTRL 엘리베이터의 운행모드 변경 시 사용 . ... 엘리베이터를 보다 편하게 이용할 수 있는 편의성을 제공 .
    리포트 | 18페이지 | 2,000원 | 등록일 2010.12.25
  • 이동식 승강기,엘레베이터 설계입니다.
    설계 목적과 설계 개요...................2p2. 전체 디자인 기초 설계...................3p3. ... 설계 목적과 설계 개요설계 목적 : 제한조건을 만족시키는 이동식 승강 시 설계.제 한 조 건① 체인을 사용할 것.② 들어 올릴 무게 : 200 kgf③ 들어 올릴 높이 : 3.8m④ ... 전체 디자인 기초 설계< 옆면> 구동 결과, 최고 높이치수 포함 전체 디자인3.
    리포트 | 17페이지 | 2,500원 | 등록일 2010.12.04
  • [보고서+소스코드]아주대 디지털시스템설계 2차 프로젝트 VHDL이용한 엘리베이터 설계
    VHDL를 사용하여 아래와 같은 제품을 포함한 elevator를 설계하라.설계 Spec• (1~5층 elevator 자유롭게 이동 가능해야 한다.)• (1~9명 탑승 가능 인원 초과 ... 처음에 엘레베이터가 5층에서 0명의 사람으로 시작하여 1층 내부버튼이 눌려져 있고 2층 외부 하강 버튼 또한 눌려져있는 상태에서 엘리베이터가 1층으로 가는 도중 2층에서 멈춰서 ... 시 정지 후 경보)• (긴급버튼을 사용 시 정지 후 경보)• Elevator 내부에는 1~5층 버튼, 긴급 버튼이 있다.• Elevator 외부에는 상하 버튼이 각각 있다.1)
    리포트 | 21페이지 | 5,000원 | 등록일 2014.10.05 | 수정일 2018.12.02
  • 메카트로닉스 엘레베이터 설계 OTIS-LG
    서 론한 학기 동안 강의를 수강하면서 앞으로 생산현장에서 접하게 될 시스템의 종류 및 설계과정을 주어진 과제를 수행함으로서 개략적인 이해를 도울 수 있었다.한 학기를 마무리 하면서
    리포트 | 10페이지 | 3,000원 | 등록일 2007.06.25
  • 임베디드(embedded) - rhapsody와 CAN controller 이용한 elevator 동작 설계
    Design Problem: Design an elevator controllerDesign Assumption: Elevator는 항상 1층에서 대기하고 있다.: 모든 Elevator ... call이 온 층으로 올라간다.● 여기서 call버튼으로는 각층에서 내려가는 버튼만 유효하다.● elevator가 1층에서 대기 중에 혹은 elevator가 올라가는 중에 call이 ... 내려갈 때는 elevator 위치보다 높은 층수에서의 call에는 절대 반응하지 않는다.
    리포트 | 20페이지 | 3,000원 | 등록일 2011.01.11
  • [전자회로]VHDL을 이용한 엘레베이터 설계
    Elevator 설계 개요.설계에 사용된 회로Latch 회로 State 회로Latch 회로(1)f(4 downto 0) : floor select button f_lat(4 downto ... f_dis(x) = '1'f_lat(x) = '0'f_dis(x) = '0'x층에 도달 후State 회로(1)f_led(4 downto 0): 층을 LED로 출력 down_en: elevator
    리포트 | 8페이지 | 3,000원 | 등록일 2003.11.13
  • 연세대 전기전자 기초실험 프로젝트 - 4층 엘리베이터 컨트롤러 설계(Verilog 설계)
    기초 실험 프로젝트실험 제목 : 설계 프로젝트II(4층 엘리베이터 컨트롤러 설계 실습)학과학년학번분반실험조성명▶▶ 프로젝트 개요이번 프로젝트의 목표는 엘리베이터 설계 실습을 통해 지금까지 ... 그 후에 주어진 설계 조건에 따라 verilog로 엘리베이터 컨트롤러를 coding하고 kit에서 제대로 동작하는지 확인해 보았다. ... 이 프로젝트의 설계 조건에 따라 4층 건물의 엘리베이터를 기준으로 하면 입력의 유형은 다음과 같이 나타난다.입력종류층 수(버튼)내부 입력(엘리베이터)외부 입력(Hall)1층1층으로
    리포트 | 15페이지 | 3,000원 | 등록일 2007.12.30
  • 엘리베이터 설비
    승객용 엘리베이터는 900~1,800Kg을 운반할 수 있거나, 화물용 엘리베이터는 45,000Kg까지 나를 수 있다. ... 엘리베이터란?동력을 사용하여 사람이나 화물을 수직 방향으로 이동하기 위해 사용하는 장치이다.
    리포트 | 33페이지 | 2,000원 | 등록일 2021.05.16
  • verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)
    "verilog + fpga 엘리베이터 구현 코드 (층수, 문 열림닫힘, 화살표 등)"에 대한 내용입니다.
    리포트 | 9페이지 | 2,500원 | 등록일 2023.10.12 | 수정일 2023.11.28
  • 엘리베이터 구조
    01 엘리베이터설계순서 및 고려사항 엘리베이터설계순서 엘리베이터 설계 시 고려사항 수량계산 시 대상 건축물의 교통 수요에 적합해야 한다 . ... 엘리베이터실 구조 엘리베이터에 대해서 샅샅이 파해쳐보자 !CONTENTS 1. 엘리베이터란 ? 엘리베이터의 정의 엘리베이터 설계순서 및 고려사항 엘리베이터의 구조 4. ... 다양한 엘리베이터 컨벤셔널 엘리베이터 더블데크 엘리베이터 트윈 엘리베이터 멀티 엘리베이터 3. 기타사항 케이지의 바닥면적과 적재하중 비상용 엘리베이터 2.
    리포트 | 21페이지 | 2,000원 | 등록일 2021.02.14
  • [합격] 현대엘레베이터 권상기기 개발 자기소개서
    업계 최초로 초고속 엘리베이터 등 주요 승강기 제품에 대해 에너지 효율 A등급 인증을 취득하였으며, 세계적인 친환경 엘리베이터 기술력을 입증하며 기계실 없는 엘리베이터와 웰빙 이노스 ... 이 과정에서 설계자의 방향성, 논리적인 설계가 가장 중요하다는 것을 깨닫고 공학적인 관계를 생각하며 제도를 익혔습니다. ... CATIA V5 480시간 과정을 수료하면서 다양한 기계 부품을 설계하였고 3D 프린팅을 통해 설계한 부품을 실제 구현까지 해보았습니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2020.12.30 | 수정일 2023.11.25
  • 현대엘리베이터 자소서
    현대엘리베이터의 가격경쟁력은 정말로 압도적이라는 생각이 들었습니다. 마지막으로 인프라 입니다. 영업을 위해서 설계, 설치, 보수등이 인프라등이 필요합니다. ... 대한민국에서의 현대엘리베이터의 이미지는 정말 최고라고 할 수 있습니다. 그 이미지를 통해 최선의 영업을 할 수 있을 것이라 생각이듭니다. 다음 가격 입니다. ... [ 브랜드이미지, 가격 그리고 인프라 ]현대엘리베이터가 지닌 탁월한 경쟁력은 크게 3가지 정도 있다고 생각합니다. 브랜드이미지와 가격, 인프라등이 있다고 생각합니다.
    자기소개서 | 2페이지 | 3,000원 | 등록일 2021.08.15
  • SK하이닉스 회로설계직 합격자소서
    디지털 회로 실험 프로젝트로 논리게이트나 verilog를 이용하는 프로젝트에서 논리게이트를 이용해 엘리베이터 미니어처 제작을 시도했습니다. ... 제 엘리베이터를 보시고, 담당 교수님도 황당해하시기는 했지만, 좋은 도전이고, 실패 안에서 새로운 것을 얻었다는 것이 중요하다고 말씀해주셨을 정도의 도전이었고, 전자과라면 한 번쯤 ... 그 후 아날로그 회로뿐만 아니라 디지털회로 설계 수업에서도 설계하였습니다.
    자기소개서 | 3페이지 | 3,000원 | 등록일 2023.11.19
  • 벡터저항구조시스템(Aviva Stadium)
    )ELEVATION ( 통합건축설계 _ 백터저항시스템 ): Installing facade mullions E T C : Erection of steel work ( 통합건축설계 ... Aviva Stadium ( 통합건축설계 _ 백터저항시스템 )목차 OUTLINE SITE PLAN STRUCTURE SYSTEM PERSPECTIVE VIEW SECTION ELEVATION ... _ 백터저항시스템 )E T C ( 통합건축설계 _ 백터저항시스템 )E T C ( 통합건축설계 _ 백터저항시스템 )E T C ( 통합건축설계 _ 백터저항시스템 )E T C ( 통합건축설계
    ppt테마 | 18페이지 | 2,000원 | 등록일 2024.05.29
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    논리회로설계 실험 예비보고서 #4실험 4. 디코더& 엔코더 설계1. ... 엔코더는 음성 신호 처리, 아날로그 신호의 디지털화에 적용되며, 엘리베이터의 스위치를 누르면 LED가 표시되는 것과 직렬 데이터를 통신라인의 특성에 맞추는 데에도 엔코더가 사용된다. ... 실험 목표디코더와 엔코더의 원리를 이해하고 3x8 디코더와 8x3 엔코더를 VHDL에서 동작적 모델링과 자료흐름 모델링으로 설계한 후 시뮬레이션으로 코딩이 올바르게 되었는지 확인한다
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 환경 분석(성적-A)
    Plan Floor plan Section Elevation Material 4. 친환경 설계 친환경 인증 내역 친환경 계획 5. ... 문제점 및 해결방안01 02 03 04 05 Daegu Bank 환경 분석 01 은행 설계 특징 및 조건 1. 설계방침 능률화 , 쾌적화 , 친근화 , 통일성 , 보안성 2. ... Daegu Bank 환경 분석 대구은행 제 2 본점INDEX 개요 은행 설계 특징 . 조건 대구은행 제 2 본점 건축개요 2.
    리포트 | 37페이지 | 2,900원 | 등록일 2023.07.30 | 수정일 2023.10.10
  • 실내 공기환경을 개선하기 위한 대책에 대한 사례
    위한 첨단시스템을 도입하고 있으며, 서울시는 건물을 신축하거나 증축, 리모델링 하는 경우 미세먼지를 95% 이상 필터링할 수 있는 기계환기장치를 의무적으로 설치하는 ‘녹색건축물 설계기준 ... 홀에 미세먼지 감지센서 설치,결로방지 및 쾌적한 환경을 제공- 엘리베이터 내부 : UV살균 + 에어컨오염된 공기질 정화- 세대 내부 : 스마트 공기질 관리 시스템먼지센서를 설치하여 ... 대우건설 < 5ZCS (5 zones clean air system) >1) 단지 전체부터 세대 내부까지 단계별 미세먼지 차단- 구성 : 단지입구 / 지하주차장 / 동출입구 / 엘리베이터
    리포트 | 6페이지 | 2,000원 | 등록일 2019.12.27
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:31 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대