• 통큰쿠폰이벤트-통합
  • 통합검색(17,301)
  • 리포트(15,329)
  • 자기소개서(1,026)
  • 시험자료(461)
  • 방송통신대(381)
  • 논문(87)
  • 서식(11)
  • 이력서(3)
  • ppt테마(2)
  • 노하우(1)

"전자 기초 이론" 검색결과 21-40 / 17,301건

  • 서강대학교 기초전자공학실험 - 설계 1. 전압 증폭기 제작 결과 보고서
    , 기초전자공학실험 [6주차], 서강대학교, 2017, p. 2~3.회로도 출처 : 서강대학교 기초전자공학실험 6주차 실험교재 그림 1-3 ... 입력전압의 진폭을 감소시켜(0.5V → 10mV) 이론상 출력전압의 P-P값이 4번 핀과 7번핀에 인가된 전압의 차이보다 작도록 한 경우에는 예상대로 입력전압이 100배 증폭된 결과를 ... 생긴다.참고문헌 (회로도의 출처 명시)Irwin & Nelms, Basic Engineering Circuit Analysis, 11판, WILEY, 2015, p. 147~163.서강대학교 전자공학과
    리포트 | 5페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • 기초전기전자실험 커패시턴스의측정 실험 결과보고서(해당 과목 학점 A+)
    기초 전기 전자 실험 보고서 07. 커패시턴스의 측정 1. ... 관련 이론 커패시터의 원리 전자회로에서 전기를 일시적으로 저장하는 장치를 말하며 콘덴서 , 축전기라고도 부르는 커패시터는 전류를 저장하는 기능 외에도 , 직류 전류를 차단하고 교류전류를 ... 관련 이론 (2) 커패시턴스의 전류 , 전압 특성 커패시턴스 C 를 갖는 회로에서 , C 에 가해지는 전압이 교류 전압이라고 하면 , 이때 커패시터에 가해지는 전류
    리포트 | 21페이지 | 1,500원 | 등록일 2020.10.01 | 수정일 2021.01.11
  • A+받은 기초논리회로 예비보고서 PSPICE
    실험 이론논리 함수를 디지털 전자 회로로 구현하는 경우, 높은 전압을 “1” 상태로, 낮은 전압을 “0” 상태로 간주한다. “1” 상태를 High & True, “0” 상태를 Low ... 실험목적AND 및 OR 의 기초 논리 함수를 다이오드-저항의 논리 회로로 구현한다.2.
    리포트 | 6페이지 | 1,000원 | 등록일 2022.12.28
  • 서강대학교 기초전자공학실험 - 실험 9. 브리지 회로 결과 보고서
    Y-D 변환을 이용해 회로를 다음과 같이 나타낼 수 있다.따라서 A-B사이의 저항은 다음과 같다.참고문헌서강대학교 전자공학과, 기초전자공학실험 [5주차], 서강대학교, 2017, pp ... 참고문헌서강대학교 전자공학과, 기초전자공학실험 [5주차], 서강대학교, 2017, pp. 6-12.Irwin & Nelms, Basic Engineering Circuit Analysis ... 측정치와 이론치를 표 11-6에 정리하였다. 유일한 차이점은 과 의 이론치가 0이라는 점이다.
    리포트 | 16페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • R회로 및 Op-amp 기초회로이론 설계과제
    실생활에서 흔히 볼 수 있는 전자저울의 센서 인터페이스, 보일러 온도제어용, 의료기 센서 회로 등에 사용된다.7. 참고문헌1) J. David Irwin, R. ... 배경이론1)loop analysis와 nodal analysis에 대한 이론Loop analysis 를 사용하는 방법은 다음과 같다. ... 또한 반전 증폭기와 비반전 증폭기에 대해 헷갈린 부분을 이번 설계를 통해서 복습하고 이론을 찾아보면서 어떤 부분에서 차이가 있는지 Gain을 구하는 공식이 다른 이유에 대해 알 수
    리포트 | 6페이지 | 1,000원 | 등록일 2019.12.14
  • 기초전자실험 with PSpice 커패시터 실험 2 레포트
    관련이론2.1 기초 이론- 지금까지 다룬 실험 회로에서는 직류전원 공급 장치를 전원으로 사용하였다. ... 또한 저항만으로 회로를 구성하였다.- 하지만 그 외에도 기초전자실험에서 다루는 중요한 부품이 더 있는데, 바로 커패시터(Capacitor)와 인덕터(Inductor)이다.- 커패시터와
    리포트 | 9페이지 | 3,000원 | 등록일 2021.06.17 | 수정일 2022.04.04
  • 기초전자회로실험 [19. RLC 회로와 공진]
    전자분야에서 허수를 나타내는i가 전류i와 혼동될 수 있기 때문에j를 사용한다. 임피던스는 저항(R)과 리액턴스(X _{C} ,`X _{L})의 합이다. ... RLC 병렬회로도 마찬가지로 대역통과필터로 사용할 수 있다.RLC 공진회로는 필터, 발진기, 동조회로 등 응용에서 중요한 기초를 이룬다.직렬 RLC 회로는 공진시 임피던스가 최소이고 ... 관련 이론저항과 인덕터 및 커패시터를 직렬 또는 병렬로 연결한 RLC 회로는 RC 또는 RL회로와는 다른 특성을 나타낸다.
    리포트 | 9페이지 | 2,000원 | 등록일 2022.11.12
  • 기초전자실험 with PSpice_예비레포트_4.옴의 법칙, 5.직렬과 병렬. 6.키르히호프의 법칙
    참고 문헌기초전자실험 with PSpiceEngineering Circuit Analysis, 11thhttps://www.scienceall.com/%EC%98%B4%EC%9D%98 ... 참고 문헌기초전자실험 with PSpiceEngineering Circuit Analysis, 11thhttps://opentutorials.org/module/4391/269761 ... 관련 이론옴의 법칙이란 전자기학에서 사용하는 가장 기본적인 법칙 중 하나로, 전자의 흐름인 전류는 저항값에 반비례하고 전압에 비례한다는 법칙이다.
    리포트 | 15페이지 | 1,000원 | 등록일 2021.06.20
  • 직병렬회로 [기전실 예비보고서]
    기초전자공학실험 예비보고서(실험4. 직 병렬 회로의 저항 )1. ... 기초이론직렬회로의 저항1) 저항의 직렬접속저항이 일렬로 연결되어 있는 경우로서 전류가 흐를 수 있는 통로가 오직 하나뿐이므로 각 저항을 통해 흐르는 전류는 모두 같다.2) 직렬회로의 ... 실험목적직 병렬 회로에서 전류와 전압 그리고 저항의 관계를 이해한다.옴의 법칙과 키르히호프 법칙을 이용하여 측정값을 이론적으로 확인해 본다,2.
    리포트 | 3페이지 | 1,000원 | 등록일 2021.04.13
  • [한양대 에리카 A+]기초회로이론 Multimeter의 사용법 및 기초회로이론
    년도-학기2022년 1학기과목명광전자실험LAB번호실험 제목1Multimeter의 사용법 및 기초 회로 이론실험 일자2022년 3월 18일제출자 이름제출자 학번팀원 이름chap.1 관련 ... Alexander 외 1명/ 6~10, 30~44pages-광전자실험/ 6~14pages-일반물리학2/11판/David Halliday 외2명/171page ... 이론실험에서 사용되는 멀티미터는 저항, 전압, 전류 등을 측정할 수 있는 계측기로서 아래의 그림과 같이 액정 디스플레이에 물리량을 나타낸다.멀티미터를 이용하여 원하는 물리량(전압,
    리포트 | 8페이지 | 2,000원 | 등록일 2022.06.21
  • 기초전자설계및실험 예비보고서 임피던스 회로 해석방법
    ,통신, 컴퓨터공학 기초전공실험 –민상원 저-[2] 회로이론 교재 (fundamental Electric circuit)예비보고서 기초전자설계및실험1 실험일: 2018 년 11 월 ... 실험 제목: 임피던스 회로 해석방법조: 이름: 학번:실험에 관련된 이론1. ... thevenin 등가회로thevenin 등가회로를 측정하여 구하고 기존회로와 동일함을 확인한다.2) 측정문제2 : 부하를 연결한 후 부하에 걸리는 전압과 전류 측정참고문헌[1]전기,전자
    리포트 | 5페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • PSpice를 이용한 회로 시뮬레이션
    .· PSpice로 간단한 실험 회로를 시뮬레이션해본다.3.2 실험 장비PSpice 프로그램(OrCAD 16.6 Demo) 실습용 컴퓨터3.3 실험을 위한 기초 이론3.3.1 PSpice ... 전자회로 시뮬레이션에 가장 많이 사용되는 프로그램은 PSpice다. ... 전자회로는 시뮬레이션(Simulation)으로 동작을 검증하고, 시제품을 제작하는 등의 단계를 거 치면서 설계가 이루어진다.
    리포트 | 22페이지 | 3,000원 | 등록일 2019.10.01
  • 기초전자실험 with PSpice 예비레포트 7. 전압 배율기와 전류 분류기, 테브난의 정리, 노턴의 정리
    참고 문헌홍순관, 『기초전자실험 with PSpice』, 한빛 아카데미Irwin nelms, 『Engineering Circuit Analysis 11th』, Wileyhttps:/ ... 참고 문헌홍순관, 『기초전자실험 with PSpice』, 한빛 아카데미Irwin nelms, 『Engineering Circuit Analysis 11th』, Wileyhttps:/ ... 관련 이론멀티미터는 아날로그 형과 디지털 형이 있다. 아날로그 멀티미터의 측정부는 전류량에 따라 지침이 움직이도록 만든 전류계이다.
    리포트 | 11페이지 | 1,000원 | 등록일 2021.06.20
  • 현대자동차 R&D 포트폴리오 면접 템플릿, 면접관이 더 물어볼 거 없다고 한 양식
    자료구조 의학영상처리 세포공학 데이터기초전산 실습 A+ A0 B+ B- C+ 낮음 보통 높음 A- B0 열역학 패턴인식과 머신러닝 재활공학 유전체와 맞춤의로 통계적학습이론 생체역학 ... 및 실습 통계적 학습이론 바이오 센서 고체 역학 기계 실험 2 1. ... 전공선택 (1)(2) 를 전공필수로 대체 가능 4. 5pg 는 본인 R D 직무에 맞는 3 가지 직무 키워드로 대체 가능일반 물리학 일반물리학실험 일반 화학 공학제도 공학수학 기계전자공학
    ppt테마 | 8페이지 | 9,900원 | 등록일 2021.12.10 | 수정일 2024.04.04
  • 기초전자실험 with PSpice 예비레포트 15.휘스톤 브리지, 16.미분회로와 적분회로
    참고 문헌홍순관, 『기초전자실험 with PSpice』, 한빛 아카데미Irwin nelms, 『Engineering Circuit Analysis 11th』, Wileyhttps:/ ... 관련 이론1) 휘스톤 브리지의 원리그림은 휘스톤 브리지이다. R3는 가변저항이고, RX는 값을 측정하려는 저항이다. 그림에서 가변저항을 조절하면 VO를 0[V]로 만들 수 있다. ... 관련 이론입력파형을 미분하여 출력하는 회로를 미분회로라 하고, 반대로 입력파형을 적분하여 출력하는 회로를 적분회로라 한다, 미분회로와 적분회로는 RC회로나 RL회로 또는 연산증폭기를
    리포트 | 12페이지 | 1,000원 | 등록일 2021.06.20
  • 기초전자실험 with PSpice 예비레포트 13.커패시터, 14.인덕터
    참고 문헌홍순관, 『기초전자실험 with PSpice』, 한빛 아카데미Irwin nelms, 『Engineering Circuit Analysis 11th』, Wileyhttps:/ ... 관련 이론1) 커패시터의 구조와 종류-구조커패시터는 콘덴서라고도 하며, 전하를 저장하는 기능을 가진 부품이다. ... 관련 이론1) 인덕터의 구조와 종류-구조인덕터는 구리선과 같은 도선을 나선 모양으로 감아서 만들며, 코일이라고도 한다. 코일에 교류전류가 흐르면 자계가 생긴다.
    리포트 | 14페이지 | 1,000원 | 등록일 2021.06.20
  • EMC, 발열 TEST 시험
    전자파를 주는 측과 받는 측의 양쪽에 적용하여 성능을 확보할 수 있는 기기의 능력을 법규상 ( )라고 정의한다.2. ( )는 전자파에 대한 내성, 즉 전자파 방사, 전도에 의한 영향으로부터 ... 견뎌내는 능력이다.3. ( )는 전도 또는 방사되는 전자파가 다른 기기의 기능에 장애를 주는 것이다.※ 다음의 각각을 기술하여라.4.
    시험자료 | 2페이지 | 2,000원 | 등록일 2022.03.17
  • 기초전자실험 실험2 다이오드 특성 결과보고서
    값들로 실제 다이오드를 사용하여 회로를 구성하고 측정하다 보니실험 이전에 생각하지 못한 오차 값에 당황하였지만 실제적인 값들을 다루며 실무적인 감각이 성장하고 있음을 느꼈다.문헌[전자회로 ... 다이오드에 대해서 I(D) = 2mA에서 a를 반복하라.rd(계산값) = 27ΩSi 다이오드에 대해서 I9D) = 2mA예서 AC 저항을 계산하라.rd(계산값) = 26Ω문턱 전압이론 ... , 그리고 다이오드가 포함된 회로에서의 타 소자의 전압과 전류를 측정하는 것이었다.실험 초반 DMM을 사용하여 순바이어스를 측정할 때 Si와 Ge다이오드의 문턱전압 측정값이 실제 이론상의
    리포트 | 6페이지 | 1,000원 | 등록일 2022.10.01
  • (2021 최신) 회로실험 레포트 Capacitor 및 Inductor의 특성(교류 회로)
    SvobodaFLOYD 기초회로실험[9판] -David M. Buchla회로실험교재 PDF시뮬레이션Lab교재 PDF ... 유도자는 축전기와 저항과 함께 기본적인 선형 전자 부품이다. 인덕터는 많은 교류 회로, 특히 라디오 관련 회로에 쓰인다. ... 오실로스코프는 전자공학의 핵심 장비로 사용하며, 기타 과학, 의학, 엔지니어링, 통신 산업 등의 산업에서 측정 장비로 사용한다.
    리포트 | 7페이지 | 1,500원 | 등록일 2021.10.27
  • (2021 최신) 회로실험 레포트 OP Amp의 기본 특성
    SvobodaFLOYD 기초회로실험[9판] -David M. Buchla회로실험교재 PDF ... 년도-학기2021 년 2학기과목명회로이론응용및실험LAB번호실험 제목9 Hyperlink "https://learning.hanyang.ac.kr/courses/85300/assignments ... 관련 이론(Theoretical Background)◎ 연산 증폭기 (Operational Amplifier)그림1.a - 이중 연산 증폭기그림1.b - 연산 증폭기연산 증폭기는 집적회로
    리포트 | 8페이지 | 1,500원 | 등록일 2021.12.08 | 수정일 2021.12.16
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 14일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:05 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대