• LF몰 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(435,475)
  • 리포트(375,349)
  • 자기소개서(27,979)
  • 방송통신대(13,885)
  • 시험자료(10,566)
  • 기업보고서(3,577)
  • 서식(2,090)
  • 논문(1,571)
  • 이력서(189)
  • ppt테마(138)
  • 노하우(121)
  • 표지/속지(10)

"정보전" 검색결과 21-40 / 435,475건

  • [행정학과] 2020년 1학기 정보사회와행정 교재전범위 핵심요약노트
    정보사회의 개념적 스펙트럼1) 정보사회를 이해하기 위한 기초용어(1) 정보정보의 어원: 중세 라틴어 ‘infomatio' (주어진 어떤 형상, 구성 또는 교시 등의 의미)② 정보재 ... 본질적인 특성 - 매체 의존성: 정보와 매체의 관계에서 유추되는 정보의 특성 - 누적 가치성: 정보가 축적될수록 가치가 커짐 - 부가 가치: 정보의 결합성에서 오는 특성 - 상대적 ... 제1장 정보사회의 이해1.
    방송통신대 | 60페이지 | 10,000원 | 등록일 2020.01.06
  • [과학기술정보통신부] 정보통신공사 사용전검사신청서
    정보통신공사업법 시행규칙 [별지 제9호서식] 정보통신공사 사용전검사신청서※ 색상이 어두운 난은 신청인이 적지 않습니다. ... 「정보통신공사업법」 제36조 및 같은 법 시행령 제36조제1항에 따라 정보통신공사 사용전검사를 신청합니다.년 월 일신 청 인 (서명 또는 인)특별자치시장ㆍ특별자치도지사ㆍ시장ㆍ군수ㆍ구청장 ... 발급◀사용전검사필증▼사용전검사필증 발급대장 기재
    서식 | 2페이지 | 무료 | 등록일 2023.03.10
  • [문화교양학과] 2022년 1학기 정보사회와디지털문화 교재 전 범위 핵심요약노트
    제1장 정보사회에서의 개인: 네트워크 속에서의 자유와 고립 1. ... 네트워크의 확산, 매개된 커뮤니케이션의 지배 1) 네트워크의 확산① 정보사회는 네트워크가 전방위적으로 확산되어 있는 사회임② 정보사회의 개인들은 일상적으로 네트워크에 접속해 있으면서 ... 네트워크의 접속점으로 기능하고 있음 ③ 그 과정에서 이들은 점점 더 컴퓨터에 의해 매개된 커뮤니케이션에 의존하게 됨④ 정보통신기술의 발전에 힘입어 네트워크가 고도로 확산되면서 재택근무를
    방송통신대 | 39페이지 | 7,500원 | 등록일 2022.06.15
  • [생활과학과] 2020년 1학기 인터넷과정보사회 교재전범위 핵심요약노트
    제1장 컴퓨터와 정보1. ... 사실이라는 데이터를 뛰어넘는 개념임④ 관찰이나 측정을 통하여 얻은 데이터를 처리하여 실제 문제해결에 도움이 되는 결과물을 뜻함3) 데이터에서 정보 추출하기 정보를 추출하기 위해서는 ... 데이터와 정보 1) 데이터① 어떠한 작업을 처리하는데 있어 참고하기 위해 기록해 놓은 것을 의미함② 공공데이터는 개인 및 기관에서 참조할 수 있도록 관리 및 제공하는 기록물을 뜻함③
    방송통신대 | 34페이지 | 7,000원 | 등록일 2020.01.06
  • [컴퓨터과학과] 2020년 1학기 정보통신망 교재전범위 핵심요약노트
    컴퓨터 통신망의 출현※ 컴퓨터 통신망 - 넓은 의미: 컴퓨터 통신망은 서로 떨어진 지점, 사람 또는 장치들 사이에 여러 종류의 매개체를 이용해 정보를 전달하는 과정 - 좁은 의미: ... 원격통신의 의미로 사용, 어떤 형태이든 전자적으로 정보를 전송하는 것(1) 통신기술과 데이터 처리기술① 통신과 컴퓨터에 관련된 기술은 서로 상호보완적으로 보다 강력한 형태로 발전②
    방송통신대 | 61페이지 | 10,000원 | 등록일 2020.01.06
  • 1. 국가 생존과 국익을 위한 정보전의 중요성을 역사적 사례를 들어 설명
    그래서 현대의 전쟁은 정보전의 양상을 띤다.정보전은 비단 전쟁에서만 중요한 것이 아니다. 오늘날 산업과 기업도 정보전을 벌이고 있다. ... 국가 생존과 국익을 위한 정보전의 중요성을 역사적 사례를 들어 설명하고, 우리나라의 정보전 역량 발전 방안을 제시하라2. ... 정보전의 관점에서 현재 우리나라에 대한 가장 위협적인 국가(북한 제외)를 제시하고 위협 내용을 분석하라.목차[주제 1]1_정보전의 필요성과 중요성2_정보전의 중요성을 보여주는 역사적
    리포트 | 9페이지 | 2,000원 | 등록일 2024.02.02
  • 중앙대학교 전자전기공학부 전과 진행정보, 자기소개서, 면접질문&후기
    특히 전파의 경우 에너지 전송, 정보 전송, 탐지 등 다양한 분야에 활용된다는 점이 흥미롭게 다가왔습니다. ... 전과동기에 대해 말해보아라 -> 자신의 전과사유가 지어낸 말인지 확인하기 위한 의도의 질문인 것으로 생각. ... 제대로 말하지 못한다면 전과 사유에 대한 진정성을 의심받을 수 있다고 생각합니다. 저는 자소서에 써있는 전과동기를 다시 정리해서 말씀드렸습니다.2.
    자기소개서 | 2페이지 | 3,500원 | 등록일 2022.02.18 | 수정일 2023.01.03
  • [컴퓨터과학과] 2020년 1학기 인터넷과정보사회 교재전범위 핵심요약노트
    제1장 컴퓨터와 정보1. ... 사실이라는 데이터를 뛰어넘는 개념임④ 관찰이나 측정을 통하여 얻은 데이터를 처리하여 실제 문제해결에 도움이 되는 결과물을 뜻함3) 데이터에서 정보 추출하기 정보를 추출하기 위해서는 ... 데이터와 정보 1) 데이터① 어떠한 작업을 처리하는데 있어 참고하기 위해 기록해 놓은 것을 의미함② 공공데이터는 개인 및 기관에서 참조할 수 있도록 관리 및 제공하는 기록물을 뜻함③
    방송통신대 | 34페이지 | 7,000원 | 등록일 2020.01.06
  • [컴퓨터과학과] 2020년 1학기 데이터정보처리입문 교재전범위 핵심요약노트
    정보화 사회에서는 효율적인 정보의 수집, 수집된 정보의 가치판단, 정보 활용 능력 등 이 필수적으로 요구됨. ... 데이터정보와 컴퓨터(1) 정보화 사회 정보의 수집과 분석 및 정확한 판단 없이는 살아갈 수 없는 사회, 정보가 급격하게 증대, 성장, 보급되는 사회를 정보화 사회라고 함. ... 이러한 의미에서 지금은 지식정보화사회라고 함.(2) 지식정보화 사회에서 데이터를 효율적으로 처리하고 분석하기 위해서는 컴퓨터의 활용 및 데이터 분석 능력이 필수적임.(3) 지식정보
    방송통신대 | 45페이지 | 8,000원 | 등록일 2020.01.06
  • [경영학과] 2020년 1학기 영문정보및서식의이해 교재전범위 핵심요약노트
    Part 1 - International Issues제1장 Delicately Poised: Are China and the US Heading for Conflict? (1) Delicately Poised : Are China and the US Heading fo..
    방송통신대 | 98페이지 | 13,000원 | 등록일 2020.01.06
  • 간호관리학, 간호정보시스템 사용 전과 후 간호현장의 변화
    이에 따라 아래 본문에서는 간호정보시스템의 사용 전과 후의 간호현장의 변화와 관련되어 알아보고자 한다.II. 본론1. ... 간호정보시스템을 사용 여부에 따른 비교2. 간호정보시스템 도입 후 달라진 점3. 간호정보시스템 관련 분야4. 간호정보시스템의 문제점III. 결론I. ... 간호정보시스템 관련 분야간호정보시스템은 병원정보시스템의 간호업무관련 기능들로 구성되며, 전자의무기록시스템, 처방전달시스템, 병원경영정보시스템 등과 관련되어 있다.
    리포트 | 3페이지 | 1,000원 | 등록일 2022.05.25
  • [과학기술정보통신부] 정보통신공사 착공전 설계도 확인결과 통보서
    정보통신공사업법 시행규칙 [별지 제7호서식]정보통신공사 착공전 설계도 확인결과 통보서발급번호 :발주자(건축주)전화번호주 소용역업체설계자(연락처)주 소건축허가번호건축현장명(소재지) ... 검토의견보완사항관련 근거(기술기준 등)확인자소속성명(서명 또는 인)연락처[ ] 「정보통신공사업법」 제36조 및 같은 법 시행령 제35조의2제3항에 따라 정보통신공사 착공전 설계도 확인 ... 」 제76조에 따라 500만원 이하의 벌금에 처해질 수 있으며, 정보통신공사 사용전검사 시 기술기준에 부적합한 사항을 보완하지 않으면 재시공 등의 불이익이 있으니 반드시 보완 후 착공하기
    서식 | 1페이지 | 무료 | 등록일 2023.03.10
  • [과학기술정보통신부] 정보통신공사 착공전 설계도 확인업무 관리대장
    정보통신공사업법 시행규칙 [별지 제8호서식] 정보통신공사 착공전 설계도 확인업무 관리대장번호발주자(건축주)설계자건축현장처리비고착공전 설계도 확인사용전검사성명(기관 또는 법인명)대표자상호엔지니어링업신고번호
    서식 | 1페이지 | 무료 | 등록일 2023.03.10
  • 정보처리기사 전범위 실기 예상문제 총정리
    [1장-1]1. s/w를 개발하기 위해 정의하고 운용, 유지보수 등의 과정을 각 단계별로 나눈 것으로 소프트웨어 수명주기라고도 한다. 2. 소프트웨어의 위기를 극복하기 위한 방안으로 연구된 학문3. 보헴이 제안한 모형으로 폭포수 모형과 프로토타입 모형의 장점에 위험 분..
    시험자료 | 48페이지 | 3,500원 | 등록일 2021.10.31
  • 실습학생이 느끼는 간호정보시스템 사용 전, 후 간호현장의 변화
    실습학생이 느끼는 간호정보시스템 사용 전, 후 간호현장의 변화1. 간호정보시스템을 사용하는 병원 비교2. 간호정보시스템 사용 이후 달라진 점3. ... 간호정보시스템 사용 시 문제점간호정보시스템의 가장 위험한 문제점은 정보 보안의 문제이다. 간호정보시스템에는 개인의 신체적? ... 개인건강정보는 사적인 영역의 매우 민감한 정보이기 때문에 외부의 공격이나 정보가로채기 등의 문제가 발생하면 큰 문제로 이어질 수 있다.
    리포트 | 2페이지 | 2,000원 | 등록일 2021.05.09 | 수정일 2022.12.01
  • 행정고시(5급공채) 전산직(정보보호) 서브노트
    시험자료 | 48페이지 | 20,000원 | 등록일 2023.03.26 | 수정일 2023.04.23
  • [ 정보처리산업기사 필기 ] 전과목 요약 요점정리
    [정보처리산업기사 필기]요점정리1.데이터베이스2.전자계산기 구조3.시스템 분석 설계4.운영체제5.정보 통신 개론[ 1.데이터베이스 ]* 자료(Data) - 단순한 사실이나 결과값, ... 가공되지 않은 상태* 정보(Information) - 자료를 가공(처리)해서 얻는 결과물* 자료처리시스템 - 일괄 처리, 온라인 실시간 처리, 분산 처리* 데이터웨어하우스 - 의사결정자원 ... 메소드(Method), 클래스(Class), 인스턴스(Instance), 메시지(Message)- 주체성, 다형성, 분류성, 상속성, 추상화, 캡슐화(Encapsulation)-정보
    시험자료 | 21페이지 | 2,000원 | 등록일 2020.09.23 | 수정일 2022.11.09
  • 항정신병제의 작용기전, 특징, 약물 정보 및 약물 사용 이유
    그러나 치료에 효과적인 작용기전은 부분적으로만 알려져 있다. ... 저용량에서는 시냅스 전 도파민 자가수용체를 차단하고 고용량에서는 시냅스 전후 수용체 모두를 차단한다. ... 항정신병제의 작용기전과 특징항정신병제는 신경이완제로 알려져 있으며 조현병과 분열정동장애, 그리고 양극성 장애 중 광적인 성향에서 보이는 망각과 환각 같은 정신병 증상을 치료하는 데
    리포트 | 19페이지 | 2,000원 | 등록일 2021.08.26 | 수정일 2022.08.08
  • 페이스북 개인정보 유출에 대한 내부고발자 자서전 타겟티드를 읽고
    것인가 다시 한번 생각해 보는 계기가 되었다.개인정보의 위기전세계적으로 개인정보 처리에 대하여 규제를 강화하고 있고, 한국도 개인정보보호법 도입을 통하여 개인정보 처리에 대한 규제를 ... 빅데이터가 전세계에서 널리 사용되는 페이스북에서 불법적으로 수집한 데이터에 기초한 것이고, 페이스북은 위 데이터의 파기 등에 대하여 정상적인 확인 절차를 거치지 않았다는 점이다.우리나라보다 ... 다만, 개인정보, 고객정보, 신용정보, 금융거래정보, 위치정보 등에 대하여는 이러한 규제의 반대편에서 그 경제적 가치를 활용하기 위해 규제 완화를 요구하는 경제계의 상당한 규제 완화
    리포트 | 3페이지 | 1,000원 | 등록일 2020.04.18
  • [과학기술정보통신부] 준공검사 전 사용허가신청서
    ■ 연구개발특구의 육성에 관한 특별법 시행규칙 [별지 제11호서식] 준공검사 전 사용허가신청서※ 바탕색이 어두운 난은 신청인이 적지 않습니다. ... 획용도별면적(㎡)기반시설계 획시설별개 요「연구개발특구의 육성에 관한 특별법」 제32조제3항 단서, 같은 법 시행령 제27조제1항 및 같은 법 시행규칙 제8조에 따라 위와 같이 준공검사 전
    서식 | 2페이지 | 무료 | 등록일 2023.03.10
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
3:44 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대