• LF몰 이벤트
  • 유니스터디 이벤트
  • 파일시티 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(283)
  • 리포트(272)
  • 시험자료(8)
  • 방송통신대(3)

"2-bit ram 실험" 검색결과 21-40 / 283건

  • 서강대학교 디지털논리회로실험 - 실험 9. Memory Elements : ROM/RAM 결과 보고서
    한편, 2개가 아닌 4개의 7-segment를 구 동하기 위해서는 4-to-1 MUX를 이용하고 select input에 2-bit up counter의 출력을 인가하면 된 다. ... 디지털논리회로실험결과 보고서[11주차]실험 9. Memory Elements : ROM/RAM1. ... 새로운 실험 키트에서는 RAM의 동작을 확인할 수 없어 아쉬웠다.이전의 실험에서는 7-segment를 하나만 사용하거나 여러 개를 쓰더라도 동일한 패턴을 표시했다.
    리포트 | 6페이지 | 1,000원 | 등록일 2020.04.20 | 수정일 2020.04.24
  • [A+자료] 컴퓨터의이해 중간과제물 - 슈퍼컴퓨터에 대하여 설명하라 메타버스가 이용되는 사례를 하나만 선택하여 설명하라 반도체 기억장치의 발달과정에 대하여 설명하라
    NVRAM 등으로 발전되어 왔다. 1949년 존 폰 노이만에 의해 최초로 프로그램 내장형 컴퓨터가 개발되었고, 1964년 Fairchild사의 John Schmidt에 의해 64-bit ... Dennard는 1개의 MOSFET과 1개의 커패시터가 1비트를 저장하는 DRAM(Dynamic RAM)에 관한 특허를 출원하였다. 1984년에는 마스오카 후지오에 의해 전원이 끊겨도 ... 실험이 어렵거나 불가능한 핵 시뮬레이션 등 국방, 안보, 에너지 분야에서도 많이 활용된다.
    방송통신대 | 7페이지 | 4,000원 | 등록일 2023.05.10 | 수정일 2023.11.28
  • [논리회로설계실험]VHDL을 통해 구현한 RAM
    Enable이 1이고 Clk이 바뀔때마다, 4bit의 주소값이 들어와, ram안에서 주소값을 이용하여 해당하는 위치의 데이터값을 출력으로 내보내는 회로이다.그림1. ... Ram3)배열과 type castingType (~) is array (~) of (~) 구문을 이용하여, 배열을 지정하고, 배열안에 들어갈 자료형과 비트수를 지정할 수 있다. ... -RAM Hyperlink "http://www.bloter.net/archives/230516" http://www.bloter.ne예측값특징 및 실행모드0ns00000000000UU0으로
    리포트 | 15페이지 | 2,000원 | 등록일 2021.06.26
  • 디지털 논리회로 Xilinx와 key, dot matrix를 활용한 패턴 저장, 표출
    필요한 CLK를 사용했다. 8MHz를 클락으로 받는 카운터는 최소 8M * 2^-16 의 클락을 구할 수 있고 다시 8비트 카운터를 통해 8M * 2^-24의 CLK를 얻을 수 있다 ... 총 2개의 SR8RLED 를 사용하며 각각의 아웃풋은 encoding 작업 후 3bit의 정보로 만들어준후 64x1 RAM 의 address에 입력된다. ... 디지털 논리회로 실험 학기말 프로젝트1.
    리포트 | 17페이지 | 2,000원 | 등록일 2020.12.03
  • 10주차 예비보고서 - 디지털 시스템 설계 및 실험
    나열로 RAM 혹은 ROM에 저장된다. 2.1 Instruction Format- Instruction은 Bit Vector 형태로 표현된다. ... 실험제목: Simple Computer - Control Unit실험목표1. Simple Computer의 구조를 이해한다. 2. ... 수행 흐름을 제어한다. - Datapath는 실제적인 Operation을 수행한다. 2.
    리포트 | 5페이지 | 1,000원 | 등록일 2020.07.29
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 결과보고서6
    이 회로에 C 대신 Clk 신호를 입력해준다면, D Flip Flop을 구성할 수 있을 것이다.실험2-2결선도회로? ... 즉, 바로 전의 출력 값을 Toggle함으로써 R-S F/F 의 문제점을 해결한 것이다.실험3-2결선도회로? ... 이 회로에 C 대신 Clk 신호를 입력해준다면, R-S Flip Flop을 구성할 수 있을 것이다.실험2-1결선도회로?
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 서강대학교 디지털논리회로실험 레포트 9주차
    9주차 결과레포트메모리 소자: ROM/RAM1. 실험제목: 메모리 소자 ROM/RAM2. 실험목적:1) 메모리 소자들의 동작 원리와 활용 방법을 이해한다. ... -Read only memory (ROM)-Random access memory (RAM)2) Address decoding의 개념과 구현 방법을 이해한다.3) ROM을 이용해서 임의의 ... 7-segment display에 표시하는 회로를 구성해 본다.DIP_SW[3:0]와 DIP_SW[7:4]가 각각 4-bit으로 구성된 두 수를 입력하는데 사용되고 그 수에 해당하는
    리포트 | 30페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • sr latch,D,T flip-flop 예비레포트
    실험 제목 [SR Latch, D Flip Flop, T Flip Flop]2. ... -SR latch래치(latch) 또는 플립플롭(flip-flop)은 1비트의 정보를 보관 또는 유지할 수 있는 회로이며 sequential logic의 기본요소이다. ... 실험 목적-hardware description language(HDL)을 이해하고 그 사용방법을 익힌다.
    리포트 | 5페이지 | 1,000원 | 등록일 2022.08.21
  • 논리회로실험 순차회로 설계
    S는 출력 1을, R은 출력 0으로 되도록 한다는 의미이다.(2) Flip - Flop- 1비트의 정보를 기억할 수 있는 회로로 컴퓨터의 주기억장치 RAM이나 캐시 메모리, 레지스터를 ... 일렬로 배열하고 적당히 연결한 것을 말한다.- 여러 비트의 2진수를 일시적으로 저장하거나 저장된 비트를 좌측 또는 우측으로 하나씩 이동할 때 사용한다.- 이동(shift)레지스터가 ... 실험 내용- 실험 1.
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 서강대학교 디지털논리회로실험 레포트 3주차
    디지털 논리회로 실험 결과 보고서3주차 Decoders and Encoders5조1.실험제목: Decoders and Encoders2.실험목적:-일반적인 binary decoder의 ... (1) binary decoding가장 일반적인 형태의 decoder는 n-to- binary decoder이다 이 경우 n-bit 입력 code에 대해 bits의 출력 code를 ... -RAM은 전원이 끊어지면 기억되어있던 데이터들이 소멸되기 때문에 휘발성 메모리(Volatile Memory)라 표현한다.
    리포트 | 12페이지 | 1,000원 | 등록일 2020.08.12 | 수정일 2020.08.26
  • 2023년 1학기 방송통신대 컴퓨터의이해 중간과제물)슈퍼컴퓨터에 대하여 설명하라 메타버스가 이용되는 사례 반도체 기억장치의 발달과정 가상현실, 증강현실, 메타버스 등의 응용에 사용하기 위한 입출력 장치 QR코드 만들기
    기상청은 2000년 SX-5 슈퓨터 또한 딥러닝을 비롯한 인공지능 활용에 급속히 확대되고 있다. 2020년 인공지능 분야에서 주목받은 OpenAi의 생성적 사전학습 모델의 GPT-3은 ... 슈퍼컴퓨터는 신약개발을 위한 복잡한 실험, 유전정보, 대용량 데이터 처리가 필요한 천문학 연구, 항공기 개발, 우주개발이나 원자력 발전소 개발 과정, 국방과 무기 분야, 일기예보, ... 즉, 반도체기억장치는 전류의 공급이 끊어지면 저장된 데이터의 손실이 일어나게 된다.③유형반도체기억장치는 비트의 형태로 데이터를 저장한다.
    방송통신대 | 9페이지 | 3,000원 | 등록일 2023.03.23 | 수정일 2023.04.07
  • High-K 물질을 적용한 반도체 기술 실험 레포트
    예비 레포트- 실험날짜 : 2018년 03월 14일- 실험제목 : High-K 물질을 적용한 반도체 기술- 예비이론• High-K 물질의 정의 / 종류 / 적용 분야: High-K ... 2개의 이종접합(Heterojunction)이 있고, 2개의 전극을 갖는 형태이다.p-type 반도체에서 이상적인 경우, 인가 전압이 0(V=0)일 때 금속의 일함수()과 반도체의 ... 그러면 캐패시터에 저장된 전하가 Bit 선으로 빠져나온다.
    리포트 | 5페이지 | 2,500원 | 등록일 2021.11.08
  • 마이크로프로세서 아두이노 우노 외 종류 중 2가지 이상 조사하여 이름 및 구조를 서술하시오
    ESP8266 - 저렴한 IoT 솔루션4. 마이크로비트 - 교육용 마이크로컨트롤러Ⅲ. 결론Ⅳ. 참고문헌Ⅰ. ... 마이크로비트 - 교육용 마이크로컨트롤러마이크로비트는 주로 교육 목적으로 설계된 소형 마이크로컨트롤러 보드입니다. ... 특히 개발자와 학습자에게 마이크로프로세서 기반의 보드는 실험과 교육 목적으로 널리 사용되고 있습니다.
    리포트 | 6페이지 | 2,000원 | 등록일 2024.03.12
  • (A+/이론/예상결과/고찰) 아주대 논리회로실험 예비보고서5
    코드, 8x3 Priority Encoder)2) 실험이론(1) 디코더(Decoder, 복호기)- 일반적으로 n-bit의 코드 입력과 2^n개 이하의 코드 출력을 가지는 소자로서 하나의 ... code- 8421(BCD) code는 10진수를 4bit 2진수로 나타낸 code이다. ... 그러므로 컴퓨터 내부에서 2진수로 코드화된 데이터를 해독하여 대응되는 한 개의 신호로 바꾸어 주므로, 문자와 같은 형태로 바꾸어 출력시키는 데에 사용된다.- ROM, RAM과 같은
    리포트 | 10페이지 | 1,000원 | 등록일 2021.10.24
  • 서울시립대 전자전기설계2(전전설2) 2주차 사전보고서
    이 블록은 2048bit가 있으며 이것은 각각 RAM, ROM, FIFO, Dual-port RAM 등을 구현할 수 있다. ... 2019년 전자전기컴퓨터설계실험22주차 사전보고서학번 : 2015-610019성명 : 윤종민1. ... HBE Combo-2 SE의 입출력 장치와 그 종류 및 특성FND(Flexible Numeric Display) : 숫자 또는 문자를 출력하기 위해 발광 다이오드 소자를 여러 개 실장하여
    리포트 | 4페이지 | 1,500원 | 등록일 2019.10.13
  • 서강대학교 마이크로프로세서 3주차 실험
    마이크로프로세서응용실험3주차 결과 보고서1. 실험 제목Data transfer instructions2. ... 실험 목적● 데이터 전달 명령어들의 종류와 동작에 대해 이해한다.● Endian mode, addressing mode, bit-banding, pseudo-instruction에 ... 1byte당 load되었고, data4는 2byte당 load되었다. data5의 경우 ASCII code가 입력되어 Little-endian 방식으로 32bits가 load되었다.한편
    리포트 | 26페이지 | 3,000원 | 등록일 2021.06.30 | 수정일 2022.04.14
  • [광전자공학] 양자컴퓨터(Quantum computing)의 기술동향과 개선방향
    실험 환경을 구축하고 실제 양자 비트에 원자형 냉각을 적용하여 성능 향상을 측정하고 평가한다 . ... - 큐비트 설계 중에 혼선 오류를 완화 하는 경로를 제공한다 . 1. ... Scaling 의 한계제안된 아이디어 구현 및 사업화 방안 제시 사업화 방안 제시 제안된 아이디어 구현 (1) 조셉슨 접합 을 대체하기 위해 RAM 메모리와 원시적 플래시 드라이브를
    리포트 | 15페이지 | 2,500원 | 등록일 2023.11.10
  • [A+보고서] 회로실험 플립플롭의 기능 예비보고서
    플립플롭의 기능회로실험2 5주차 예비보고서? ... 실험 목적(1) 래치 회로의 기능을 이해하고 R-S 플립플롭의 구조와 동작원리를 이해한다.(2) D, JK 플립플롭의 동작을 이해한다.? ... (flip-flop, FF)과 래치(latch)는 두 개의 안정된(bi-stable) 상태 중 하나를 가지는 1비트 기억소자이다.
    리포트 | 7페이지 | 1,500원 | 등록일 2022.12.24
  • 패터닝 예비
    공업화학실험Patterning and treatment of SiO2 thin films1. ... 관찰 - 광학 현미경 사용(3) 패턴된 산화막을 반응성 이온 식각장치를 사용하여 적절한 식각가스와 파워를 선택하여 식각 실험을 진행한다.(4) 식각된 산화막의 표면의 색깔과 패턴 ... Summary- Pattern the silicon dioxide (SiO2) layer using PR(Photoresist).- Determine the optimal etch
    리포트 | 5페이지 | 1,000원 | 등록일 2020.05.05
  • 자동제어 보고서 병아리 부화기
    개요2. 분석 및 설계- 시스템 특성파악- 모델유도3. 설계- 문제 설정- 제어기 설계4. 구현 및 실험- 제어기 구현- 앞으로 구현해야 할 내용1개요15년 2월 5일. ... 0과 1을 신호 포맷을 살펴봅니다.아래는 0을 나타냅니다.MCU란 (중앙처리장치 + 마이크로프로세서 + RAM + 발진기 + 탐지기 등)을 합쳐놓은 단일칩상에 구현해놓은 것이라고 ... range :0-50 °C error of ± 2 °CHumidity :20-90% Rh ± 5% Rh errorInterface: DigitalDHT11은 디지털신호를 일거들일
    리포트 | 23페이지 | 3,000원 | 등록일 2020.06.09
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:15 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대