• 통큰쿠폰이벤트-통합
  • 통합검색(748)
  • 리포트(704)
  • 시험자료(33)
  • 방송통신대(8)
  • 논문(2)
  • 자기소개서(1)

"4비트 2진 비교기" 검색결과 21-40 / 748건

  • BPSK 이론 정리
    PSK 신호의 일반적인 표현식은 다음과 같다.PSK 방식은 반송파 위상 변화 상태 수에 따라 2진, BPSK 와 m진, MPSK 와 4진,QPSK로 나뉜다. ... 이전 비트와 현재 비트 간을 비교하여 같으면 0, 다르면 1 을 적용한다. BPSK 와의 차이점은 절대 위상 기준을 사용하지 않는다는 접이다. ... 따라서 수신기에서는 인접 비트 간 위상차만 알면 복조가 가능하므로 절대 위상 정보가 필요하지 않다.
    리포트 | 4페이지 | 1,000원 | 등록일 2020.10.05
  • [응용 전기전자 실험] D / A 변환기 예비보고서
    따라 다음 식과 같은 값을 가진다.VA`=` {1} over {2 ^{N- pi}} * {1} over {3} *Vn (N은 2진 정보(ABCD)의 비트 수이고 n은 2진 정보 1이 ... 전압 가산형 D/A 변환기 실험(4.4.1)의 1)을 Pspice를 이용하여 진행한 후, 표를 기입하시오.D3D2D1D0Y1Y2D3D2D1D0Y1Y20000-29mv-28mv1000 ... 입력된 비트 수이며 Vn은 디지털 전압 레벨을 나타낸다.)3.
    리포트 | 7페이지 | 1,000원 | 등록일 2020.09.12
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 4주차 Lab04 예비 레포트 Combinational Logic 1
    예상 결과본 실험에서는 자일링스 프로그램을 통해 조합회로인 1bit반가산기, 1bit, 4bit전가산기, 4bit 비교기를 베릴로그 언어를 사용해 시뮬레이션을 진행하게 된다.1bit반가산기는 ... test benchHalf_adder simulationHalf_adder pin(2) One bit 전가산기1) 1비트 반가산기의 module instantiationmodule ... 각각 if문과 assign문을 사용한 Behavioral Level modeling4bit 비교기는 module instantiation와 Behavioral Level modeling위와
    리포트 | 13페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.24
  • 조합논리회로와 순서논리회로의 종류 및 특징(회로) 조사
    -조합 논리회로 종류조합 논리회로의 기본이 되는 가산기, 비교기, 디코더, 인코더, 멀티플렉서, 디멀티플렉서, 감산기 등을 알아보자1)가산기: 한 비트 2진수(A,B)와 한 자리 아래의 ... 자리올림수(C in)을 모두 더해 해당 자리의 합(S)과 자리올림수 (C out)를 구한다 이것이 여러 개 합쳐지면서 여러 비트의 연산을 할 수 있게 된다(병렬가산기)2)비교기: ... 반감산기(Half Subtractor)2진 정수의 뺄셈에서 2^0의 자리에 대한 뺄셈을 수행합니다.X, Y : 뺄셈의 피연산자D : 차(Difference)B : 빌림수(Borrow
    리포트 | 8페이지 | 2,000원 | 등록일 2023.06.20
  • DA 및 AD 변환기
    R-2R 사다리꼴 DAC- 이것은 R과 2R의 두가지 저항들을 사다리꼴로 연결한 것으로써 4비트2진 입력에 대한 것이다. ... 변환속도는 비교기 및 엔코더의 지연의 합에 의해서 결정되며, 현재 20ns이하의 ADC도 사용되고 있다. 4∼8비트(16∼256레벨)가 상용화되고 있으며 비트 수가 이 이상되면 구조가 ... 이 형태에서 n비트 출력을 위해서는 2^n개의 동일저항과 2^n -1개의 비교기가 필요하다.
    리포트 | 8페이지 | 4,000원 | 등록일 2021.10.14
  • 기초전자회로실험 예비보고서 - 인코더, 디코더와 7-Segment Display
    아래에 적혀있듯 인코더의 정반대 기능을 수행하는데 n비트2진 코드 입력에 의해 최대 2^n승 개의 출력이 나오므로 가능한 한 2진 입력의 조합만큼 출력을 가진다.위는 인코더와 디코더에 ... SN7442의 4 – Bit 전가산기 기능 수행 여부를 확인한다SN7442 내부 회로도와 설계문제 3)의 NAND 또는 NOR 게이트 활용 실험에서 자신이 설계한 회로도를 비교하고 ... 최소 4비트(16개)가 필요함을 알 수 있다.
    리포트 | 9페이지 | 1,000원 | 등록일 2019.09.29 | 수정일 2019.09.30
  • 논리회로실험 비교기와 MUX, ALU
    예비 이론(1) 비교기- 두 개의 수를 비교하여 기준으로 정한 한 수가 작다와 크다 또는 같다를 결정해주는 조합논리회로- 비교하는 방법은 2진 코드 상태의 절대 값을 상대적으로 비교하므로 ... 비교기와 MUX, ALU1. ... 받아들여 n개의 선택선의 조합에 의해 2^n개의 출력선 중에서 하나를 선택하는 회로이다.- 출력선의 선택은 선택입력의 비트 조합에 의해 결정된다.- 데이터 분배기(Data distributor
    리포트 | 5페이지 | 1,500원 | 등록일 2021.10.01
  • 논리회로의 간소화, 멀티플렉서를 이용한 조합논리 예비레포트
    BCD는 0에서 9까지의 십진수를 표현하는 4비트 2진 코드이다. 그러므로 2진수 1010에서 1111까지는 무효한 BCD 코드이다.(1). ... 그림 8-1은 2비트 비교기에 대한 그룹짓기를 보여주고 있다. ... 여기서 회로는 4비트용으로 설계하지만 레지스터 A를 위해 8비트로 쉽게 확장될 수 있다.2) 멀티플렉서를 이용한 조합논리멀티플렉서(multiplexer) 또는 데이터 선택기(data
    리포트 | 8페이지 | 1,500원 | 등록일 2022.08.26 | 수정일 2022.08.29
  • [A+레포트] 한글문자코드 장단점 - 프로그래밍 기초와 실습
    그런데 컴퓨터는 2진 기호를 사용하고 있으므로 컴퓨터로 우리가 의사 소통을 하기 위해서는 우리가 사용하는 글을 2진 기호의 형식으로 표현해야 한다. ... 이것이 곧 코드이며 한글을 2진 기호로 표현한 것이 한글 코드인 것이다.컴퓨터가 보급되기 시작하던 무렵의 한글 코드는 컴퓨터의 사용에 급급한 상태였으므로 제대로 된 한글 코드의 개발이 ... 조합형 Coding Rule조합형 한글 Code는 16비트의 연속된 2바이트에 코드를 5비트씩 묶어 초성, 중성, 종성으로 구분한 것이다.
    리포트 | 4페이지 | 2,000원 | 등록일 2021.01.12
  • 시립대 전전설2 Velilog 결과리포트 5주차
    Select Bit가 2개의 Bit로 이루어져 있고 Input이 4비트로 이루어져 있는 회로를 말한다.진리표4:1 MUX의 진리표를 숫자로 일일이 나열하면 꽤 많은 경우의 수가 나오기 ... 배경 이론3x8 decoder디코더는 해독기를 의미하며 임의의 입력 번호에 대응하는 출력만을 활성화 시킨다.N비트 2진 입력 신호를 M개 (개) 의 출력 신호로 변환시키는 동작을 수행한다.입력 ... ) BCD Code (8421 코드)BCD = Binary-Coded Decimal 로써 2진 부호화 10진법을 의미한다.이 방법은 4비트2진수를 이용하여 1자리의 10진수를 표현한
    리포트 | 16페이지 | 1,000원 | 등록일 2021.04.16
  • 예비보고서(6 멀티플렉서)
    하나를 선택할 수 있도록 하려면, 두 개의 선택 비트(select bit)가 필요하므로 두 개의 데이터-선택선이 있다.◀ 그림 14 입력 데이터-선택기/멀티플랙서의 표시기호그림 2에서 ... 데이터-선택 입력(S)의 2- 비트 2진 코드는 여러 개의 입력 중에서 선택된 입력 데이터만 출력선으로 내보낸다. ... 관련이론가산기, 비교기, 디코더, 인코더, 코드 변환기 등 여러 종류의 고정기능 조합논리회로 중에서 지난 실험에서는 디코더, 인코더에 대해서 했으며, 이번 실험에서는 멀티플렉서, 디멀티플렉서에
    리포트 | 6페이지 | 2,000원 | 등록일 2020.10.14 | 수정일 2020.11.12
  • [시스템프로그래밍] 8086 인텔 프로세서에서 사용되는 레지스터의 역할
    레지스터는 다음과 같이 구성되어 있다.레지스터명크기개수역할데이터 레지스터(Data Register)16비트4· 일시적인 결과 기록· 2개로 분할 8비트 사용 가능포인터 레지스터(Pointer ... AF (Auxiliary Carry) 상태비트는 뺄셈 시 보조연산을 위해서 존재하며, 연산 결과에서 하위 4비트에 자리올림 혹은 빌림(borrow)이 생겼을 때 설정된다. 10진 연산 ... OF (Overflow)는 “부호 연산 처리의 결과 부호부 2진 표시로 오버플로우가 생겼을 때 설정된다. 바이트 연산에서는 ?
    리포트 | 6페이지 | 2,500원 | 등록일 2020.05.18
  • BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정
    이 때문에 일부 프로세서는 아예 BCD로 덧셈과 뺄셈 등의 간단한 연산을 할 수 있는명령을 갖추고 있다.BCD 덧셈은 BCD 수를 4비트씩 묶어서 2진 덧셈 규칙처럼 더한다. ... 그렇다고 BCD코드가 2진수 계산에 적합하지는 않다. 다만 필요에 따라 쓰는 것이다.2) BCD와 2진수의 비교10진수로 또는 10진수로부터의 변환이 상대적으로 용이하다.? ... 전자계산기 구조과제 BCD(Binary-Coded-Decimal code)에서 다음 물음에 답하시오. 1) 구현 방법2) 가 산 법3) 47+35의 BCD 가산과정1.
    리포트 | 5페이지 | 6,000원 | 등록일 2020.07.01
  • 12주차-실험23 결과 - ADDA 변환기
    계수 비교형 A/D 변환방법에 대해 이해하고, 2진 하중 저항회로를 이용한 D/A 변환방법에 대한 실험이었습니다. ... (증가하는 사진의 일부분을 찍었습니다.)(5) 에서 디지털 입력 단에 10진 계수기를 연결하고, 계수기를 리세트한 다음 클럭입력단자에 가능한 낮은 주파수의 펄스를 인가하여 출력 Vout의 ... 또한 디지털 신호 입력이 논리 ‘1’의 상태일 때, 각 bit의 전압 크기가 모두 같다고 하면 위에서도 썼듯이이 됩니다.D/A 변환기의 입출력 인터페이스는 CPU로부터 1 바이트씩
    리포트 | 4페이지 | 1,500원 | 등록일 2020.10.02
  • 예비보고서(7 가산기)
    두 개의 수를 더하는 가산기로, 2개의 2진 숫자를 입력받아, 2개의 2진 숫자(Sum 비트와 캐리 비트)를 출력시킨다. ... 또{bar C}_n,{bar C}_n+4는 자리올림 입력과 출력이고 M은 모드 제어 입력이며 A=B는 비교기출력이다. ... 시프트 레지스터에서 4비트를 읽어서 전가산기의A_3{A_2}{A_1}{A_0입력으로 삼고,B_3{B_2}B_1}{B_0에는 1001을 고정 입력으로 둔다.
    리포트 | 9페이지 | 2,000원 | 등록일 2020.10.14
  • 시립대 전전설2 A+ 6주차 예비레포트
    레지스터는 N개의 클럭이 발생하는 데이터에 의해서 병렬 데이터가 결정된다.Shift register같은 동작을 함9) 4-bit SIPO Register4비트 직렬입력/병렬출력(SIPO ... _ logic_5bit.vSimulation4. ... 가짐클럭이 발생하였을 때, 입력 D의 상태를 Q에 전달함6) Register and Data transfer플립플롭은 데이터를 저장하는 용도로 많이 사용됨데이터: 숫자 값 또는 2진으로
    리포트 | 30페이지 | 2,000원 | 등록일 2024.09.08
  • 부경대 디지털 논리 설계 6장 7장 과제
    그림 6-24의 직렬형 가산기는 2개의 4-비트 레지스터를 사용한다.레지스터 A는 2진수 0111을 갖고 있고, 레지스터 B는 0101을 갖고 있다. ... 10……0∴최대 n가지n연습문제 6-8. 4-비트 2진 리플 하향카운터가 아래의 값에서 다음 값으로 변하기까지몇 개의 플립플롭이 반전되어야 하는가? ... _{1} Q _{8} +Q _{1} Q _{2} Q _{4} )#CO`=Q _{1} Q _{8} 단순화된 입력식을 곱의 합 형태로 표현하고 카르노 맵으로 매핑·비교하면}} + {bar
    리포트 | 11페이지 | 5,000원 | 등록일 2020.04.21 | 수정일 2022.11.11
  • VHDL_2_MUX,DEMUX,비교기,ALU,Hamming code
    그 외는 모두 0이다.시뮬레이션 결과와 계산 결과가 일치한다.실습제목: 4bit 비교기1. 주제 배경 이론비교기는 입력되는 두 신호를 받아서 신호의 크기를 비교한다. ... 그래서 같거나 대소 관계를 파악하여 출력신호를 내보낸다. 4bit 비교기의 진리표는 다음과 같다.입력출력Xi(A>B)Yi(AB)Yo(A B_4 이므로 Xo=12) 100 ~ 200ns ... 결국 1bit 비교기에 몇 가지 기능을 추가하여 코드를 만들었다.ALU는 데이터 타입의 문제가 있었다.
    리포트 | 35페이지 | 2,000원 | 등록일 2021.09.23 | 수정일 2022.04.04
  • 디지털회로실험 가산기, 감산기 실험 레포트
    기본 이론- 비교기- 비교기는 2개의 전압이나 전류를 비교하고 더 큰 쪽을 가리키는 디지털 신호를 출력하는 장치이다.- 2진 비교기는 두 2진수 값의 크기를 비교하는 회로이다. ... 디지털회로실험실험보고서제목 : XOR 게이트(XOR, 1비트 비교기, 보수기)가산기와 감산기(전가산기, 전감산기)1. ... OPLUS B#F _{3} `=`A {bar{B}}#F _{4} `=` {bar{A}} B``- [그림 3] 비교기 논리회로 - [표 3] 비교기 진리표입력출력ABA=BA !
    리포트 | 10페이지 | 1,500원 | 등록일 2020.12.13
  • 코드변환 설계
    실험 원리① 2진 코드를 그레이 코드로 변환의 원리그레이 코드는 최상위비트(MSB)는 그대로 내려쓰고, 그다음 그레이 비트부터는 앞의 2진 비트와 그다음 2진 비트비교하여 같으면 ... ,G _{4}는 High(1)가 나온다.② 그레이 코드를 2진 코드로 코드의 다음 비트비교하여 같으면 0, 다르면 1을 나타내는 논리연산자이며 XOR Gate의 논리와 같아 XOR ... 원리를 이해한다.이론으로 각종 코드 변환기의 동작 특성을 확인한다.3.
    리포트 | 19페이지 | 1,500원 | 등록일 2020.11.16 | 수정일 2022.04.23
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 18일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
11:42 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대