• 통큰쿠폰이벤트-통합
  • 통합검색(626)
  • 리포트(588)
  • 시험자료(23)
  • 방송통신대(8)
  • 논문(5)
  • 자기소개서(2)

"7 세그먼트 입력기" 검색결과 21-40 / 626건

  • 설계 보고서 - 자동판매기 회로
    SN7410(디코더, 플립플롭, 7 세그먼트 표시기등) ... care 동전의 투입을 표시하기 위한 7세그먼트 디코더의 진리표 7세그먼트 표시기100자리10자리1자리a = d = e = f = g = 0b = c = S{} _{1}S{} _{ ... 동전의 투입을 사용자가 알 수 있도록 7 세그먼트를 이용하여 금액이 보여 질 수 있도록 한다.
    리포트 | 4페이지 | 2,000원 | 등록일 2020.10.01
  • 디지털 만보기
    TTL 스위칭 전압7세그먼트(FND)- 고강도 적색 출력- 표준 크기는 다른 유형을 쉽게 대체합니다.- 경제적인 가격- 쉬운 장착MSL-1C2P- SMD(표면에 붙여 땜질) 가능- ... 흐르지 않았던 부분에 접촉하게 되어 전류가 흐르게 됨전체적인설명spec기울기 센서를 이용하여 SW200소자가 한 번 동작할 때마다카운터에 1씩 카운트카운트 된 값을 세그먼트에 표시000부터 ... 수락하고 이를 7개의 오픈 컬렉터 출력으로 변환-오픈 콜렉터 출력에는 풀업 저항이 필요하다-램프 테스트 입력-작동 온도 최대 70°C-표준 TTL 스위칭 전압74LS47- FND에
    리포트 | 10페이지 | 3,000원 | 등록일 2023.11.07
  • BCD 가산기 설계 결과보고서
    그림[3-46]의 블록도와 같이 두 BCD의 입력을 받아 7-세그먼트 FND에 BCD를 출력하는 BCD가산기를 설계하라. ... 시뮬레이션 입력을 [표3-31]과 같이 주어졌을 때 7-세그먼트 디코드된 이진출력이 동일한지 검토하고 결과를 나타내라.중간값캐리덧셈 결과10(0XA)1011(0XB)1112(0XC) ... 디지털시스템 설계 실습 7주차 결과보고서학과전자공학과학년3학번성명※BCD 가산기 설계1.
    리포트 | 3페이지 | 2,000원 | 등록일 2021.04.16 | 수정일 2024.01.29
  • 논리회로설계실험 - 디코더/엔코더 예비레포트
    입력 A는 3비트이고 출력 Y는 8비트이므로 STD_LOGIC_VECTOR로 정의해주고, 각각 (2 downto 0), (7 downto 0)로 비트 크기를 설정해주었다.(5, 6행 ... 디지털 시계는 7 세그먼트 디스플레이에 세그먼트들의 조합을 이용하여 시간을 표현한다. ... segment7세그먼트 표시 장치는 7개의 선분(획)으로 구성되어 있으며, 위와 아래에 사각형 모양으로 두 개의 가로 획과 두 개의 세로 획이 배치되어 있고, 위쪽 사각형의 아래 획과
    리포트 | 9페이지 | 2,000원 | 등록일 2021.10.09
  • 수 정렬회로 설계 결과보고서
    subtype my_logic is std_logic range ‘0’ to ‘z’ ;답 : 0, 1, z고찰정렬회로는 두 수를 입력받아 크기를 비교한 후 큰 수를 max 7-세그먼트 ... 디지털시스템 설계 실습 6주차 결과보고서학과전자공학과학년3학번성명※수 정렬회로 설계- 슬라이드 스위치에 두 개의 입력(a,b)- switch(ena)가 0일 경우7-seg에 두 입력 ... FND에 작은 수를 min 7-세그먼트 FND에 출력한다. parameter는 상수를 심볼로 나타냄으로서 module을 객체화 할 때 원하는 대로 바꿀 수 있게 한다.
    리포트 | 4페이지 | 1,000원 | 등록일 2021.04.16
  • 논리회로실험) 7 segment 프로젝트 1 예비
    segment Decoder-BCD-to-7세그먼트 디코더는 4비트로 구성된 BCD 값을 입력으로 받아들여 7세그먼트 표시기에 사용되는 a, b,CDOTS g 신호를 만들어내는 조합회로로 ... TV에서 수신할 수 있는 아날로그 형태의 NTSC 방식의 신호로 변환하여 주는 장치로 사용된다.(3) 7 segment- 7세그먼트 표시기라고 하는 소자는 0에서 9까지의 숫자를 표시하기 ... .- n비트의 2진 코드를 최대 2^n개의 서로 다른 정보로 바꾸어 주는 조합논리회로이고, 복호기라고 부른다.- n개의 입력선과 최대 2^n개의 출력 선을 가지며, 입력 값에 따라
    리포트 | 6페이지 | 1,500원 | 등록일 2021.10.01
  • 광전 소자의 특성 실험 결과레포트
    7 세그먼트 표시기가 그 중에서 가장 널리 쓰인다. 7 세그먼트 표시기에는 시계 방향으로 a,b,c,d,e,f,g가 표시되며 따라서 7세그먼트에는 7개의 LED가 탑재 된다. ... 표시기의 LED 중 7개의 캐소드가 모두 0V에 연결된다면 콜몬 (캐소드 )형이라고 부르고 ( HIGH )입력전압이 LED를 ON시킨다.3-5 발광 다이오드는 PN접합형 다이오드의 ... 종류에 따라 소수점을 표시하기도 하는데 이는 8개의 LED가 탑재되게 된다.예를 들어서 숫자 0에서 9까지 표시하는 TIL312 7-세그먼트 표시기의 경우 모든 세그먼트가 ON상태가
    리포트 | 9페이지 | 1,500원 | 등록일 2021.09.07 | 수정일 2021.12.01
  • 홍익대학교 전전 실험1 프로젝트 보고서
    입력된 BCD 코드값(0000, 0001, 0010, ..., 1000, 1001)에 따라 A~G까지 세그먼트 표시기로 출력을 내보낸다.◆ 7 Segment 표시기Segment Decoders ... g까지 세그먼트 표시기로 출력을 내보내게 된다. ... BCD-to-7세그먼트 디코더(7447)를 이용하여 값을 쉽게 표시할 수 있다.
    리포트 | 9페이지 | 3,000원 | 등록일 2020.12.25
  • [디지털공학개론] 카운터의 응용으로 디지털시계의 회로도를 완성해 가는 과정을 설명하시오
    그리고 12진 카운터의 값이 10 or 11일 경우 TTL-7447 디코더와 7세그먼트 표시기로 표시하기에는 하나의 자리로 표시되어 알아보기 힘들다. ... 초나 분을 나타내는 6진 또는 10진 카운터들은 BCD-to-7세그먼트 디코더(TTL 7447)를 이용하여 값을 표기할 수 있다. ... Ei가 0일 경우에 동작하지 않고 현재값을 유지하고 있으면 된다.시계에 필요한 시간을 표시하기 위해 디코더를 서게 해야 하는데 설계한 카운터들의 값이 눈에 보이도록 7세그먼트를 사용하여
    방송통신대 | 7페이지 | 3,000원 | 등록일 2021.03.23
  • 실험3광전 소자의 특성 실험
    (a)0.7V (b)0.3V (c)5V (d)2.0V3-4. 7세그먼트 표시기의 LED 중 7개의 캐소드가 모두 0V에 연결된다면 콤몬 ( ))형이라고 부르고 ( )입력 전압이 LED를 ... .● 7 세그먼트의 특성을 이해 할 수 있다.● 광 결합기(optocoupler)의 특성을 설명할 수 있다. ... 세그먼트 표시기의 핀 번호할당을 표시하고 있다.
    리포트 | 16페이지 | 1,000원 | 등록일 2023.07.12
  • 전기및디지털회로실험 아두이노M3 예비보고서
    대부분의 7세그먼트 표시기에는 이 일곱 개의 led외에 한쪽 귀퉁이에 소수점을 표시할 수 있도록 점 표시가 있어서 실제로는 모두 여덟 개의 led를 내장하고 있다.숫자표시기는 두가지 ... 이때 숫자표시기 안에 있는 모든 led의 아노드가 전원에 공통적으로 연결되어야 하므로 공통아노드형의 표시기를 사용하여야 한다.7-세그먼트 디코더/구동기: BCD코드가 주어지면 이것으로 ... 형태가 있는데 모든 다이오드의 아노드가 공통으로 묶여 있는 공통캐소드 형과 모든 다이오드의 캐소드가 공통으로 묶여있는 공통아노드형이 있다.7-세그먼트 표시기의 구동: 각각의 led는
    리포트 | 14페이지 | 1,000원 | 등록일 2021.03.20 | 수정일 2021.09.03
  • 충북대학교 전자공학부 기초회로실험 인코더와 디코더 회로 결과보고서
    인코더는 디코더와 반대기능을 지녔는데,2 ^{n}개 또는 이보다 적은 수의 입력 신호로부터 n개의 출력 신호를 만든다.7-세그먼트 실험에서는 디코더에 직접 입력을 넣어주고 표시기를 ... 세그먼트에 결과 값을 출력해보는 실험이었다. ... 회로를 구성하여 7-segment LED를 구동확인하고 0~9숫자의 논리식을 표시하라.
    리포트 | 2페이지 | 2,000원 | 등록일 2020.07.27 | 수정일 2020.09.15
  • 디지털 시계 설계 설계보고서(충북대 및 타 대학교)
    작동원리1) 디지털 시계의 구성에 나타낸 디지털 시계의 전테 블록도를 보면 시간 표시를 위한 7세그먼트 표시기를 비롯하여 BCD-to-7세그먼트 디코더(TTL 7447), 12시간 ... 표시기를 구동할 수 있는 출력을 만들어내도록 설계하는 경우- 방법 2 : TTL 7447은 0에서 9사이의 값을 입력받을 경우 7-세그먼트 표시기에 우리가 실제 사용하는 숫자 모양으로 ... )의 정보를 담음② BCD-7 세그먼트 디코더 : 숫자 표시 전용 장치③ 시간을 표시하기 위한 디코더 설계- 방법 1 : 디코더는 12진 카운터의 값을 입력으로 받아 직접 7-세그먼트
    리포트 | 18페이지 | 2,500원 | 등록일 2020.11.19 | 수정일 2020.12.04
  • 광전소자 결과 레포트
    가장 일반적인 LED배열은 7-세그먼트 표시기이다. 이 표시기는 7갸의 구형 LED를 포함한다. 각각의 LED는 표시될 문자의 한 부분을 형성하기 때문에 세그먼트라고 부른다. ... .- 7- 세그먼트 지시기로 숫자를 표시한다.- 광결합기를 통하여 신호를 전달한다.이론발광 다이오드는 고체상태의 광원이다. ... LED 자료I, mAV102.22.1202.42.3302.72.4402.92.6표 4-2. 7-세그먼트 표시기표시 값접지시켜야 할 핀들01, 2, 7, 8, 10, 13110, 1321
    리포트 | 4페이지 | 1,000원 | 등록일 2019.10.10
  • 부산대학교 전기전자기초실험 term project
    실험 원리 7- 세그먼트 표시기 , FND (Flexible Numeric Display), 세그먼트 LED - 0 – 9 의 숫자를 표시 ( 소수점 포함 / 미포함 ) -7 세그먼트 ... 실험 원리 - 카운터 (counter)- - 래치 (latch), 플립플롭 (flip-flop)- 래치 - 입력이 변화해도 출력의 상태를 유지 ( 메모리 기능 ) 플립플롭 - 래치에 ... 적절한 입력을 가함으로써 래치의 상태를 변경시킬 수 있는 회로 - RS 플립플롭 , JK 플립플롭 , D 플립플롭 , T 플립플롭 등 - 래치 회로에 동기신호 (CLK) 를 추가한
    리포트 | 9페이지 | 3,000원 | 등록일 2020.11.23 | 수정일 2020.11.26
  • (A+)전기전자기초실험 발표-가 시한폭탄의 제작 및 기능 구현
    실험 원리 7- 세그먼트 표시기 , FND (Flexible Numeric Display), 세그먼트 LED - 0 – 9 의 숫자를 표시 ( 소수점 포함 / 미포함 ) -7 세그먼트 ... 실험 원리 - 카운터 (counter )- - 래치 (latch), 플립플롭 (flip-flop)- 래치 - 입력이 변화해도 출력의 상태를 유지 ( 메모리 기능 ) 플립플롭 - 래치에 ... 적절한 입력을 가함으로써 래치의 상태를 변경시킬 수 있는 회로 - RS 플립플롭 , JK 플립플롭 , D 플립플롭 , T 플립플롭 등 - 래치 회로에 동기신호 (CLK) 를 추가한
    리포트 | 9페이지 | 3,500원 | 등록일 2020.11.15 | 수정일 2020.12.05
  • 조선대 전자회로실험 디지털시계 과제 레포트
    BCD 값을 입력으로 받아들여 7세그먼트 표시기에 해당 숫자 (0~9)가 표시되도록 7세그먼트입력 단자 a, b, ... , g 신호를 만들어내는 조합회로이입니다. ... LED 의 밝기를 높이려면 저항값이 330Ω 보다 작은 220Ω 저항을 사용할 수도 있다.설명 재료 설명 설치 방법 7447 IC BCD-to-7 세그먼트 디코더란 4비트로 구성된 ... 디코더/드라이버로서 7447을 사용하여 7-세그먼트 LED를 구동하기떄문에 7-세그먼트 LED는 공통 애노드형을 사용합니다.동작원리 디지털 시계 발진 회로 분주 회로 디코더 회로 카운터
    리포트 | 19페이지 | 1,500원 | 등록일 2019.11.07 | 수정일 2019.12.07
  • 충북대학교 전자공학부 전자회로실험II 결과보고서 PWM을 이용한 DC 모터 속도 제어
    이때 사용하는 비교기는 슈미트-트리거 비교기인데, 이 비교기를 사용하는 이유는 일반 비교기는 잡음까지 반전이 되지만 슈미트-트리거는 UTP와 LTP로 인해서 입력 신호의 잡음을 둔감하게 ... 실험에 사용한 원판이 포토인터럽트 사이를 통과하게 되면서 7세그먼트에 속도가 카운트가 된다. ... 비고 및 고찰이번 실험은 DC 모터 속도 제어를 하고 Counting해서 7-세그먼트로 확인하는 실험이었다.
    리포트 | 3페이지 | 2,000원 | 등록일 2020.09.30
  • 컴퓨터과학개론 , 다음 8문제 중에서 반드시 5개만을 선택해서 정리하여 제출하시오.
    페이징 기법은 프로그램을 동일한 크기로 나눈 단위를 페이지라 하며 이 페이지를 블록으로 사용하는 기법이고 세그먼테이션 기법은 프로그램을 가변적인 크기로 나눈 단위를 세그먼트라 하며, ... 세그멘테이션은 메모리를 서로 크기가 다른 논리적인 블록 단위인 '세그먼트(segment)'로 분할하고 메모리를 할당하여 물리 주소를 논리 주소로 변환하는 것을 말한다. ... 조합회로와 순차회로의 개념과 종류를 나열하고 설명하시오.7. 데이터베이스 시스템의 3단계 구조와 관련해서 스키마에 대해 설명하고 비교하시오.참고문헌2.
    방송통신대 | 6페이지 | 5,000원 | 등록일 2020.11.17
  • 부산대학교 기계공학기초실험및설계_개인별 텀프로젝트 계획서
    표시장치 (네이버 지식백과, 전기용어사전)7 세그먼트 단자상태 정리표 (wikidocs, 7Segment)PAGE \* MERGEFORMAT- 10 - ... 전기 생산이 좀더 효율화 됨에 따라 전기 모터는 이동식 부품들로 구성된 대부분의 가전기기(세탁기, 선풍기, 믹서 등)에서 핵심 요소로 이루어져 있다.- 7Segment7개의 조명편을 ... 지식백과, 기계공학용어사전)로드 셀 (기계공학기초실험및설계 강의자료 Ch07)정전용량형 근접 센서 (네이버 지식백과, 센서용어사전)근접 스위치 (기계공학기초실험및설계 강의자료 Ch03)7세그먼트
    리포트 | 11페이지 | 2,000원 | 등록일 2022.07.08
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
12:15 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대