• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(5,846)
  • 리포트(5,659)
  • 시험자료(112)
  • 자기소개서(32)
  • 논문(18)
  • 방송통신대(16)
  • 서식(5)
  • 이력서(2)
  • ppt테마(2)

"7-segment" 검색결과 21-40 / 5,846건

  • [A+][예비레포트] 중앙대 아날로그 및 디지털 회로 설계실습 10. 7-segment / Decoder 회로 설계
    실습 10. 7-segment / Decoder 회로 설계실습목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.설계실습계획서2-1 7-segment/Decoder ... 7-Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다. ... 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay0000*************00111110010001001020011000011030100100110040101010010050110110000060111000111171000000000081001000110091010111001010101111001101111001011100121101011010013111011100001411110000000blank2
    리포트 | 4페이지 | 1,000원 | 등록일 2022.04.08
  • [A+]중앙대 아날로그및디지털회로설계실습 과제 7-segment / Decoder 회로 설계 (13주차)
    따라서, 7-segment의 3번, 8번핀은 접지에 연결한다.2.7-segment에 큰 크기의 전류가 흐르면 7-segment 소자가 고장나기 때문에 74LS57 Decoder의 출력과 ... 아날로그 및 디지털 회로설계실습13주차 7-segment / Decoder 회로 설계 과제1.7-segment LED의 특성이 Common Cathode type이라면 접지를 공통으로 ... 7-segment의 입력 사이에 저항을 연결하여 전류의 크기를 줄여준다.
    리포트 | 1페이지 | 1,000원 | 등록일 2021.10.09
  • [A+, 에리카] [A+] 2021-1학기 논리설계및실험 Decoder, 7segment 실험결과보고서
    실험 목적Decoder를 이해하고 7segment decoder 회로를 설계할 수 있다.Chapter 2. ... 구성하면 위와 같다. - d3 = ab, d2 = ab‘, d1 = a‘b, d0 = a‘b‘이다.ü Encoder - 10진수 입력값을 2진수 값으로 변환하여 출력한다.- 입력값을 ... 대부분의 논리회로는 2개 값의 신호를 받아들이기 때문에, 10진수는 2진수의 항들로 표현되어야 한다.ü Decoder - 2진수 입력값을 10진수 값으로 변환하여 출력한다.- 입력값
    리포트 | 10페이지 | 2,500원 | 등록일 2023.02.28
  • [A+]중앙대학교 아날로그및디지털회로설계실습 7-segment / Decoder 회로 설계 예비보고서
    실습목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다. ... 숫자를 나타내기 위해서는 4개의 입력 bit이 필요하고 7개의 segment를 점등하기 위해서는 7개의 출력 bit이 필요하다.10-1. ... 그림과 같이 7-segment LED는 common cathode type과 common anode type이 있다.Common cathode type에서는 공통단자에 Low
    리포트 | 8페이지 | 1,000원 | 등록일 2021.09.02
  • 10. 7-segment / Decoder 회로 설계 예비보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    -3 7-Segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다.74H74가 PSPICE에서는 다른 소자로 핀의 개수가 맞지 ... 설계실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다.입력출력ABCDabcdefgdisplay************ ... 실험목적7-segment와 Decoder를 이해하고 관련 회로를 설계한다.10-2.
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.06
  • [A+] 중앙대학교 아날로그 및 디지털 회로 설계실습 예비보고서 10. 7-segment, Decoder 회로 설계
    10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다. ... [이하 생략]10-3-3 7-segment 구동 회로 설계Decoder와 7-segment를 이용한 7-segment 구동 회로를 설계한다[그림 1], [그림 2]에 나타낸 실험에서 ... 사용한 74LS47 소자의 datasheet을 참고하고 준비물에 있는 Decoder와 7-segment를 이용해서 7-segment 구동 회로를 설계하면 [그림 3]과 같다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.02.06
  • [A+]중앙대 아날로그및디지털회로설계실습 예비보고서10 7-segment / Decoder 회로 설계
    -3-3- Decoder와 7-segment를 이용한 7-segment 구동 회로도 ... 아날로그및디지털회로설계실습 05분반 12주차 예비보고서설계실습 10. 7-segment / Decoder 회로 설계10-3-1- 7-segment/Decoder (74LS47) 진리표입력출력ABCDabcdefgdisplay0000 ... -2 - Karnaugh map을 이용하여 간소화 한 Sum of product 형태의 불리언 식ABCD00011110000001010110111110101100ABCD00011110000000010110110111100101ABCD00011110000100010100111111100000ABCD00011110000001010001111010101010ABCD00011110000011010011111011101011ABCD00011110000111010110110010100010ABCD0001111000100101000011001010001010
    리포트 | 4페이지 | 1,000원 | 등록일 2021.10.09
  • 10. 7-segment / Decoder 회로 설계 결과보고서 [2021년도 아날로그 및 디지털 회로 설계 실습 A+ 자료]
    아날로그 및 디지털 회로 설계 실습-실습 10 결과보고서-7-segment / Decoder 회로 설계학과 :담당 교수님 :제출일 :조 :학번 / 이름 :10-4. ... -2 7-Segment 구동 회로 설계설계실습계획서 10-3-3에서 그린 7-segment 구동 회로에 토글 스위치를 추가하여 설계 한다. 10가지 다른 입력 값에 대해 구현된 회로의 ... 설계실습 내용 및 분석10-4-1 7-Segment 특성 확인주어진 7-Segment의 Type(Anode Common type, Cathode Common type)을 확인하고 각
    리포트 | 3페이지 | 1,000원 | 등록일 2022.10.24
  • [A+보장]한양대에리카A+맞은 레포트,논리회로설게및실험,Decoder & 7-segments 실험
    실험 목적74LS47 소자를 이용하여 7-segment 시스템을 표현할 수 있다.Chapter 2. 관련 이론1. ... 을 보면 4x2 encoder를 위해서 그린 블록도에서 a3,a2,a1,a0의 입력이 입력으로 들어가고 있고, 출력이 b1,b0으로 나오는 것을 확인할 수 있다. ... 반대로 2진수에서 10진수로 바꾼 다음 사람이 읽을 수 있도록 하는 건 디코더의 역할이다.3-1) 4x2 encoder4x2 encoder은 의 진리표를 참고하여
    리포트 | 7페이지 | 2,500원 | 등록일 2024.05.21
  • 중앙대 아날로그 및 디지털 회로 설계 실습 3학년 2학기 7-segment Decoder 회로 설계 과제 13주차
    아날로그 및 디지털회로 설계 실습13주차 과제: 7-segment / Decoder 회로 설계1. 7-segment LED의 특성을 확인하였을 때, Common Cathode type이라면 ... 7-segment LED에 허용전류 이상의 전류가 흐르게 되면 고장나기 때문에 저항을 연결하여 전류를 허용전류 이하로 낮춘다.3. 7-segment LED가 Common Anode ... , 7-segment LED의 3번핀과 8번핀은 어디에 연결하여야 하는가?
    리포트 | 3페이지 | 1,000원 | 등록일 2021.06.28
  • 서강대학교 21년도 디지털논리회로실험 8주차 결과레포트 (A+자료) - Shift Register, Multiplier, 4-digit 7-segment display
    이 때, serial out인 DIO7에서는 0->1->0->1이 출력되었다. ... -Multiplier 설계를 통해 shift register의 활용방법을 익힌다.-4-digit 4-segment display의 구동원리를 이해하고 활용을 위한 회로를 설계한다.이론2 ... -parallel-in serial-out이번에는 parallel input을 load한 후, serial output(DIO7)로 나가면서 parallel이 serial로 변환되는
    리포트 | 33페이지 | 2,000원 | 등록일 2022.09.18
  • 중앙대학교 아날로그및디지털회로설계실습(3-2) A+ 10차예비보고서-7-segment/Decoder 회로 설계
    설계실습 계획서3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다. : 실습에서는 74LS47 을 Decoder 로 이용하기 때문에 ... 실험 목적7-segment 와 Decoder 를 이해하고 관련 회로를 설계한다.2. ... 이 보고서의 3-3 구동회로 설계에서는 CA 형 7-segment 를 사용하고, 실험 준비물인 330Ω 저항을 통해 연결하도록 하자.
    리포트 | 7페이지 | 1,000원 | 등록일 2021.10.06
  • 중앙대학교 아날로그및디지털회로설계실습 설계실습 10. 7-segment Decoder 회로 설계 A+ 예비보고서
    ) : 1대 점퍼선 : 다수10-3 설계 실습 계획서10-3-1 7-segment/Decoder 진리표아래 7-segment/Decoder 진리표를 작성한다. ... 실습 준비물* 부품 저항 330Ω, 1/2W, 5% : 8개 Decoder 74LS47 : 1개 Inverter 74HC04 : 8개 7-Segment : 1개 Switch : 4개 ... * 사용장비 오실로스코프(Oscilloscope) : 1대 브레드보드(Breadboard) : 1개 파워서플라이(Power supply) : 1대 함수발생기 (Function generator
    리포트 | 4페이지 | 1,000원 | 등록일 2022.09.15 | 수정일 2022.09.22
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 예비 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    예상 결과본 실험은 Verilog HDL 언어를 사용하여 7-segment and Piezo Control 을 설계하는 실험이다. 7-segment and Piezo Control ... 전자전기컴퓨터공학부 설계 및 실험2Pre Lab-08Peripherals(7-segment and Piezo Control)실 험 날 짜학 번이 름목차1. ... 실험 방법(1) 실습1설계 조건4-bit up counter의 출력 값을 single FND에 표시1. upcounter 설계2. static 7 segment를 모듈화해서 사용codesimulation
    리포트 | 18페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • (완전 세세한 정리, 끝판왕) 시립대 전전설2 8주차 Lab08 결과 레포트 7-segment and Piezo Control, 전자전기컴퓨터설계실험2,
    전자전기컴퓨터공학부 설계 및 실험2Post Lab-08Peripherals(7-segment and Piezo Control)실 험 날 짜학 번이 름목차1. ... 본 토의에서는 실험4의 코딩에 대해서 설명하고자 한다.총 4개의 7segment의 자리 수를 나타내는 변수 seg_com 선언각각의 7segment에 나타나는 변수 seg_data ... 토의실험(1) 4-bit up counter의 출력 값을 single FND에 표시1) upcounter 설계 2) static 7 segment를 모듈화해서 사용실험1은 4bit
    리포트 | 24페이지 | 2,000원 | 등록일 2020.07.28 | 수정일 2020.09.24
  • [전자전기컴퓨터설계실험2] A+ 서울시립대학교 전전설2 8주차 예비+결과(코드포함) Application_Design_I 7-segment and Piezo_Control
    I.INTRODUCTION본 실험은 7-segment와 dynamic 7-segment, 그리고 PIEZO buzzer를 설계한다. 7-segment에 사용되는 decoder를 설계해보고 ... , 이러한 이해를 바탕으로 3개의 7-segment로 구성된 동적 7-segment를 설계한다. ... 그리고 마지막으로 PIEZO buzzer를 설계함으로써 verilog 코드를 통한 응용을 학습한다.II.7-Segment, Piezo buzzer, dynamic 7-segment
    리포트 | 3페이지 | 2,000원 | 등록일 2021.03.26 | 수정일 2021.06.18
  • 7-segment
    실험결과 [7-segment]Common Cathode타입을 사용하였으며, 에 스위치와 7-segment를 연결하였습니다.숫자입력 스위치 패턴7-segment LED 점등패턴**** ... 1 2 3 4 5 6 7 8a b c d e f g dp1. ... (x=1)까지 발광합니다.(4) GaAsP계 등황색.황색 LEDGaAsP의 등황색 LED는 기판결정에 GaP를 이용하여 VPE법에 의해 발광용 p-n접합을 형성합니다.
    리포트 | 4페이지 | 1,000원 | 등록일 2013.05.24
  • Xilinx-ISE 응용 레포트 (7-segment)
    디지털논리회로Xilinx-ISE 레포트주제 : Seven-segment분반: 2분반 화수목8Report주제로 7-segment를 선택한 이유는 저번에 multisim을 이용하여 7- ... 그리고 디지털 논리회로 실험 과목도 7-segment를 응용하는 실험이 있었기 때문에 더더욱 주제로 하고자 하였다.이론Seven-segment display는 표시 장치의 일종으로, ... 사실 이전에도 BCD-7segment과제를 했었기 때문에 더욱 실패확률이 낮았을 것이다.
    리포트 | 9페이지 | 1,000원 | 등록일 2017.06.28 | 수정일 2018.04.21
  • 7-segment
    스위치 3개를 이용하여 7-Segment를 0~7까지 제어1(VCC)0(GND)1) 3개 변수를 이용한 진리표작성스위치 3개 : A , B , C7-segment 요소 : a , b ... 당시에는 설계가 되어있는 논리회로를 스위치 조작을 통해 0~7을 출력하고 2진법으로 계산하는 간단한 실험 이었다.실험2에서는 수업시간에 논리회로를 이용하여 7-segment에 저항과 ... 7-segment를 시물레이션을 하여 캡쳐)2) 진리표에 맞게 3변수 카르노 맵 작성BCA000111100101110111BCA000111100111111010BCA000111100111011111BCA000111100101110101BCA000111100100110001BCA000111100100011101BCA0001111000011111013
    리포트 | 7페이지 | 3,000원 | 등록일 2012.05.20
  • 디지털논리회로 MULTISIM 레포트 7-segment
    디지털논리회로MULTISIM 레포트주제 : Seven-segment담당 교수: 송낙운 교수님분반: 2분반 화수목8학번: B415060이름: 김희동레포트 주제로 Seven-segment를 ... BCD-7segment를 multisim을 통해 구현한 것은 정상적으로 작동하는 것으로 보였다. ... 특히 시험 공부를 하면 많은 시간을 투자한 부분이기도 했다. multism을 통해 구현하기 앞서 Seven-segment에 대해 알아보도록 하겠다.이론Seven-segment display는
    리포트 | 11페이지 | 1,000원 | 등록일 2017.06.28
AI 챗봇
2024년 08월 31일 토요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:33 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대