• 통큰쿠폰이벤트-통합
  • 통합검색(107)
  • 리포트(100)
  • 시험자료(4)
  • 논문(2)
  • 자기소개서(1)

"Binary vector" 검색결과 21-40 / 107건

  • <컴퓨터 구조 및 설계>3장 컴퓨터연산 요약정리
    준다.MIPS는 full word에 대해서만 정수 연산을 허용한다.Arithmetic for MultimediaGraphics and media processing operates on vectors ... 형태의 scientific notation으로 표현하면 좋은점 3가지- 부동소수점 숫자를 포함한 자료의 교환 간단하게 함- 부동소수점 산술 알고리즘 간단해짐- 불필요하정밀도 증가In binary ... 정수MIPS의 나눗셈 명령은 오버플로를 무시하므로, 몫이 너무 커서 오버플로가 발생하는 소프트웨어로 검사해야함. 0으로 나누는것도 마찬가지이다.3.5 부동소수점Fraction(소수부분) Binary
    리포트 | 10페이지 | 1,000원 | 등록일 2019.07.29 | 수정일 2019.10.12
  • VHDL 디지털 시계
    BCD 또는 binary 값에 대하여 7-segment decoder를 이용하여 출력하는 블록이다. second display 블록과 같이 입력되는 값이 BCD 또는 binary에 ... ( 7 downto 0 );seg_01 : out std_logic_vector ( 7 downto 0 ));end component ;component Count_OneToSix ... component;component counter_mode isgeneric(cnt_value : positive := 60);port( reset : in sg6 : std_logic_vector
    리포트 | 21페이지 | 2,000원 | 등록일 2015.10.16
  • 디지털통신2-Convlutional Coding
    Generate a binary message vector of length 16. ... Encode the message vector using the best convolutional code of constraint length K=7 and code rate 1/
    리포트 | 6페이지 | 1,000원 | 등록일 2015.06.17
  • 환경GIS및실습 중간고사 정리
    수치지형모형(DTM) : vector data → DEM 변환 가능4. ... Ranking Overlay(Binary Sum): 각각의 요소가 서식지 결정에 미치는 정도를 판단해 중첩4. ... Manual Map Overlay(Binary): 30%이상의 경사, 남북방향, 고도 1800ft 이상2. Computer Solution: 자료의 래스터화 + 속성 값 부여3.
    시험자료 | 14페이지 | 4,000원 | 등록일 2018.12.19
  • 병렬 가산기 설계 예비보고서
    예비 이론 ※ signal과 variable의 차이(1) BCD - 2진화 10진법으로 binary-coded decimal의 약어. ... (9 downto 0); Y : in std_logic_vector (9 downto 0); S : out std_logic_vector (10 downto ... IEEE.STD_LOGIC_1164.ALL;use ieee.std_logic_unsigned.all; entity A9_KSH_HSY is port( X : in std_logic_vector
    리포트 | 5페이지 | 1,000원 | 등록일 2014.07.25
  • Isoflavone 논문요약
    Introduction Materials and Methods Results and discussion The cassette was cloned into the binary vector ... EM104A GmIFS2 transformation vector was transferred into Agrobacterium tumefaciens strain GV3101 CaMV ... Flavonoid pathway Introduction Materials and Methods Results and discussion Construction of Transformation Vector
    리포트 | 16페이지 | 3,000원 | 등록일 2014.01.04
  • 기생충,세균,바이러스,진균,리케차와 클라미디아 레포트
    ⓐ 2분열법(binary fission있다. 형태학적으로는 운동기관, 감각기관, 소화기관 등이 퇴화되기도 하고 소실되기도 하지만? ... → 기생충이 숙주에 침입할 때 전파자의 경우 기계적인 전파자를 carrier라 하고, 생물학적인 전파를 vector라하며 감염의 모체인 충체가 기거하는 곳을 병원소(reservoir
    리포트 | 9페이지 | 2,000원 | 등록일 2018.04.09
  • [7주차] FSM
    isport ( clk, rst_n, mode : in std_logic;cnt : out STD_LOGIC_VECTOR(2 downto 0));end binary grey_counter ... issignal state : std_logic_vector(2 downto 0);signal next_state : std_logic_vector(2 downto 0);-- 현재상태와 ... Grey code로의 변환, 혹은 binary code로의 변환은 강의노트 21page를 보면 상세하게 나와 있으며 4bit의 이진수에 대한 binary code와 grey code는
    리포트 | 9페이지 | 2,000원 | 등록일 2012.06.30
  • 아주대 논리회로 프로젝트1 이름학번 출력 VHDL 설계
    ModelSim 프로그램을 이용한 Testbench 코드 시뮬레이션0 sec ~ 2200 nsec 까지의 입출력 시뮬레이션 (입력을 Binary로 표현)0 sec ~ 2200 nsec ... 그래서 출력은 STD_LOGIC_VECTOR ( 6 downto 0 ) 으로 지정할 것이다.이렇게 하면 입출력 지정은 다 끝났고 지금까지 지정한 것들은 우리가 실제 입출력으로 사용할 ... 왜냐하면 모든 형태가 가능한 STD_LOGIC을 사용한다고 해도 입력이 숫자일 때는 최소 4bit가 필요하기 때문에 STD_LOGIC_VECTOR(3 downto 0)의 type이
    리포트 | 18페이지 | 4,500원 | 등록일 2014.10.04 | 수정일 2018.12.02
  • [컴퓨터공학기초설계및실험2 보고서] Multiplexer design
    검증 및 실험 결과시뮬레이션 결과2-to-1 Multiplexer는 input의 수가 s, d0, d1 3개이므로 모든 input combination을 verification vector로 ... code), BCD부호(binary-coded-decimal code), 기타 여러가지 부호들을 부호가 없는 형태로 바꾸는 변환원리를 말한다. ... 진리표를 나타내었다.입 력출 력s0s1D0D1D2D300l000010l001000l011000l복호기와 부호기(decoder와 encoder)- 복호기(decoder)복호기는 이진부호(binary
    리포트 | 10페이지 | 1,500원 | 등록일 2015.04.12
  • [서강대학교 현대생물학 실험레포트] Direct PCR & GMO
    현재 식물세포를 형질 전환시키기 위하여 개발된 벡터 중에서 가장 많이 쓰이는 벡터는 binary vector다. ... 이 GMO는 흔히 Ti-plasmid binary vector를 통하여 만들어지는데 이름에서 알 수 있듯이 2개의 pla- smid가 존재하는데 하나는 목적 유전자가 삽입되는 T-DNA ... 에서 확인할 수 있듯이 band의 출현은 즉 hygromycin-resistant g- ene의 존재를 의미하는데 그것은 binary vector system에 의해 T-DNA가 정상적으로
    리포트 | 4페이지 | 1,500원 | 등록일 2013.01.03
  • Digital clock chip - SELECTOR & DIVIDER Blocks
    INPUT으로 BINARY의 값이 들어오게 되면 BCD_H의 값을 십의 자리로, BCD_L의 값을 일의 자리로 생각하여 BINARY를 십진수로 변환했을 때의 십의 자리와 일의 자리를 ... (1 downto 0);HOUR : in bit_vector(4 downto 0);MIN, SEC : in bit_vector(5 downto 0);MON : in bit_vector ... (3 downto 0);DAY : in bit_vector(4 downto 0);MIN_SW, SEC_SW : in bit_vector(5 downto 0);SECC_SW : in
    리포트 | 11페이지 | 1,500원 | 등록일 2011.06.06
  • 로봇산업[Robot industry]의 현황 및 미래
    S iiii h p ( ( p )) H iiii ( ) h p ( ( p )) N o n - f a c e h p 사용기술 Face Detection Using LBP(Local Binary ... 항암치료 나노캡슐)Sing기술 확보 인공지능을 가진 로봇의 개발사용 사용 기술 기술 2-Dimentional PCA based on 2D matrices rather than 1D vector
    리포트 | 40페이지 | 3,000원 | 등록일 2016.06.18
  • <컴퓨터 구조론> - 디지털 논리이론
    단순화 기본 연산 논리곱(AND), 논리합(OR), 논리 부정(NOT), 배타적 논리합(XOR)의 4가지 연산 논리곱, 논리합, 논상태에서 1씩 증가되는 카운터를 2진 상향 카운터(binary ... 인터럽트 전의 상태로 복귀하고 인터럽트 당한 프로그램의 중단된 곳에서부터 계속 수행함Computer Aechitecture인터럽트 체제와 동작 원리인터럽트 벡터(Interrupt vector
    리포트 | 189페이지 | 3,000원 | 등록일 2015.09.16
  • SVM실습보고서
    추후 classification단계에서 test data의 class를 모를 경우 0을 입력하면 된다.다차원의 vector를 지원하기 위해 의 개수는 제한이 없다.line의 마지막에 ... .=. svm_light는 binary classification이므로 +1과 -1의 target 값을 갖는다. ... 사용하여 주석을 넣어 줄 수 있다.- 실제 저장 data-1 1:0.43 3:0.12 9284:0.2 # abcdef1 1:1.5 3:0.2 9284:3.2※SVM 자체가 태생적으로 binary
    리포트 | 4페이지 | 1,000원 | 등록일 2010.12.31
  • cyclic 코드 디코드 구현
    problem consider a (7,4) cyclic code with a generator polynomial and a binary symmetric channel.A. ... List of all message vectors and corresponding codeword vectorsmsg = 0 0 0 0u = 0
    리포트 | 6페이지 | 8,000원 | 등록일 2010.09.29 | 수정일 2015.12.01
  • Bacterial Transformation(형질전환) report
    vector를 중식해서 얻음- 목적 유전자를 binary vector에 construction- E.coli 에 재조합 binary vector의 형질전환하여 증폭- 증폭된 재조합 ... 이 결과로 항생제가 첨가된 배지에서 vector인 플라스미드가 정상적으로 삽입되었단 것을 확인할 수 있었다.8. ... 식물1)Agrobacterium - mediated transformation2) particle bombardment○ 식물의 형질전환○ 식물 형질전환의 단계- E.coli에서 binary
    리포트 | 5페이지 | 1,000원 | 등록일 2010.07.18
  • edible Vaccine(경구백신) 에 관한 레포트 준비자료
    Binary vector system 은 Agrobacterium내에서 독립적으로 복제되는 두 plasmid로 구성이 되어있으며 일반적으로 shuttle plasmid는 한쪽 혹은 ... shuttle vector 는 일반적으로 cointegrate vector 에 비하여 작고 E.coli내에서의 유지와 조작이 용이하다. ... (여기)Binary system외부 유전자를 T-DNA를 가지고 있는 plasmid에 cloning한 다음 T-DNA 부위를 제거 후 Ti-plasmid를 가지고 있는 Agrobacterium에
    리포트 | 10페이지 | 2,500원 | 등록일 2010.08.30 | 수정일 2017.11.13
  • [컴퓨터그래픽스] Fractal 구조를 이용한 2진 트리 recursive 구조의 나뭇잎을 생성(15,10,11)
    main(void) {RecursivFunc(0);return 0;}3.실행화면 및 알고리즘이진 나무아래와 같은 하나의 줄기에 두개의 가지만 있는 나무 모양의 프랙탈을 이진 나무(Binary ... app.Create(FALSE, "Fractal - Binary Tree"))return EXIT_FAILURE;return app.Run();}-부록L-System이란 그래픽 라이브러리를 ... pt.y; z=pt.z; }Point3D(float ax, float ay, float az) { x=ax; y=ay; z=az;}};using namespace std;typedef vector
    리포트 | 8페이지 | 1,500원 | 등록일 2012.02.02
  • 기생충
    역할을 하며 또한 감염에 대하여 대체로 저항성을 지님. ☞ 어린 소에 생혈액 백신을 겨울철 사사기간에 접종하여 면역. ☞ 면역된 동물은 영구적인 carrier가 되고 동시에 많은 vector ... (A) Light micrograph of two colonies진드기 cell 내의 군집에서 성장하고 있는 두가지 단계로서 A는 binary fission에 의해 나눠지고 있는 R ... salivary gland cell(침샘세포)를 포함한 진드기의 조직들이 감염아나플라즈마에 감염된 적혈구를 품고 있는소에서 진드기의 흡혈이 이루어짐R폼은 성장할수 있는 첫번째 단계로 binary
    리포트 | 24페이지 | 2,000원 | 등록일 2012.11.13
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
9:22 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대