• 통큰쿠폰이벤트-통합
  • 통합검색(769)
  • 리포트(752)
  • 시험자료(10)
  • 자기소개서(5)
  • 논문(1)
  • 방송통신대(1)

"D flip-flop" 검색결과 21-40 / 769건

  • D Flip-Flop을 이용한 2진 계수 설계
    D Flip-Flop을이용한 2진계수 설계전자공학부2009 . 6. 81. 과제명D 플립-플롭을 사용한 2-비트 2진/그레이코드 카운터 설계2. ... 입력에 따른 회로의 동작(단,clock pulse : 1Hz)- 입력이 0인 경우 Flip-Flop의 출력을 측정하여 도시[첨부1]출력파형 첨부- 입력이 1인 경우 Flip-Flop의 ... 과제내용입력이 0인 경우 2-비트 2진 계수를 하고, 입력이 1인 경우 2-비트 그레이 코드 계수를 하는 동기식 순차 논리회로를 D 플립-플롭과 NAND_게이트를 사용하여 경제적으로
    리포트 | 8페이지 | 3,500원 | 등록일 2011.12.01
  • Positive edge triggered master-slave D flip flop 설계보고서
    D flip flop 시뮬레이션/결과a.논리 회로도Master-slave D flip-flop이 positive edge triggered D flip-flop의 특성을 가지고 작동을 ... .(3)Clear△Preset Clear 입력의 D flip flop 진리표(4) Positive edge triggered D flip flop의 동작 특성· flip-flop : ... 설계 제목 - Positive edge triggered master-slave D flip flop ?
    리포트 | 3페이지 | 1,000원 | 등록일 2014.06.03
  • Positive edge triggered master-slave D flip flop 설계결과보고서
    논리 회로도 및 시뮬결과Master-slave D flip-flop이 positive edge triggered D flip-flop의 특성을 가지고 작동을 하기 때문에 여기에 reset과 ... master-slave D flip flopDigital 회로도를 구현. ... flip flop 이 나왔고 이의 응용형태인 Master slave D flip flop, positive edge triggered D flip flop 등등이 나왔다.DCP/enableQbar
    리포트 | 6페이지 | 1,000원 | 등록일 2014.06.03
  • [디지털 설계 언어] [쿼터스 / Verilog 언어] Mealy Zero detector / Moore Model Fig 5 19 / D flip-flop
    (reset 우선, 둘 다 active high)① D flip-flop with synchronous reset and synchronous set코드시뮬레이션 결과코드를 해석해보면 ... D flip-flopsynchronously resettable and synchronously settable DFF를 코딩하라. ... always문에서 clock의 positive edge일 때만 reset값, set값, D값을 순서대로확인하여 Q값을 갱신한다.- clock의 positive edge일 때만 Q값이
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • [디지털 설계 언어] [쿼터스 / Verilog 설계] 2x4 Decoder / 4x1 MUX Behavioral Modeling / D flip-flop
    D flip-flopD flip-flop(posedge clock, negedge reset)코드시뮬레이션 결과코드를 해석해보면 always문에서 clock의 positive edge일 ... 때 D값과 reset값을 확인하고 Q값을갱신하고, reset의 negative edge일 때 Q값을 0으로 갱신하므로 이 D flip-flop은 비동기식 resetPositive-edge ... 확인하고 Q값을갱신하고, reset의 negative edge일 때 Q값을 0으로 갱신하므로 이 D flip-flop은 비동기식 resetNegaitive-edge triggered
    리포트 | 6페이지 | 1,000원 | 등록일 2014.08.11 | 수정일 2016.06.13
  • 디지털실험 - Positive edge triggered master-slave D flip flop 설계 결과레포트
    실험 결과를 바탕으로 결과 보고서를 작성한다.◎ 논리 회로도Master-slave D flip-flop이 positive edge triggered D flip-flop의 특성을 가지고 ... ◈ Positive edge triggered master-slave D flip flop-설계결과-2조 2008065321권태영1. ... 설계 조건, 목적 및 과정- Positive edge triggered master-slave D flip flop의 설계- Clock input, reset, clear 기능을 가짐
    리포트 | 5페이지 | 1,000원 | 등록일 2012.03.09
  • [토끼] Flip-Flop (플립플롭), JK 플립플롭, D플립플롭, T플립플롭의 설계 및 검증
    (ⅲ-ⅰ)② D Flip-FlopD 플립플롭(Delay flip-flop 또는 Data flip-flop)은 SR 플립플롭과 NOT 게이트 한 개를 사용하여 만들 수 있다. ... 3 - 74LS76을 이용하여 JK Flip-Flop 회로를 직접 제작해보고 동작을 확인한다.실험 4 - 74LS76을 이용하여 T Flip-Flop 회로를 직접 제작해 보고 동작을 ... NAND Gate를 이용한 SR Latch 회로를 직접 제작해 보고 동작을 확인한다실험 2 - 74LS74를 이용 D Flip-Flop 회로를 직접 제작해 보고 동작을 확인한다.실험
    리포트 | 48페이지 | 5,000원 | 등록일 2011.04.11 | 수정일 2014.06.08
  • 홍익대학교 디지털논리실험및설계 8주차 예비보고서 A+
    반대의 경우도 마찬가지로 동작합니다.1.2 D Flip-flop의 동작에 대해 설명하시오.EN이 HIGH 일 때 입력 D의 상태가 바로 Latch의 상태였던 Gated D Latch와는 ... 다르게 D Flip-flop의 경우 D 입력은 클록 펄스의 트리거 에지에서만 입력이 출력 Q로 전달되기 때문 에 D가 HIGH인 경우 출력 Q는 클록 펄스의 트리거 에지에서 HIGH ... 1.1 Gated D Latch의 동작에 대해 설명하시오.Gated D Latch의 경우 오직 하나의 입력 (D)과 EN 입력만을 가지고 있습니다.
    리포트 | 6페이지 | 1,500원 | 등록일 2023.03.21 | 수정일 2023.04.03
  • 8-bit Register&8-bit Shift Register verilog code/플립플롭을 이용한
    D Flip-Flop 8개를 호출해 입력 d와 출력 q의 각 비트를 Flip-Flop에 각각 따로 연결해주었다. ... -bit Register8-bit Shift Register분석 및 고찰8-bit Register 와 8-bit Shift Register를 설계해 보았다. 8-bit Register는 ... 11주차 결과 레포트- 8-bit Register & 8-bit Shift Register -과목명 HDL응용설계담당교수제출일전공학번이름Module 코드 및 testbench 코드Module
    리포트 | 5페이지 | 1,500원 | 등록일 2021.05.17
  • 순차논리회로기초 실험 예비보고서
    래치는 입력되는 순간 바로 반영하기 때문에 플립플롭처럼 엣지의 시점을 결정하는 논리회로가 없어도 되므로 래지의 논리회로가 간단하다.D 플립플롭D 플립플롭(flip - flop)은 광범위하게 ... 관련이론플립플롭(Flip-flop)과 래치(latch)전자공학에서 1 비트의 정보를 보관. 유지할 수 있는 회로이며 순차 회로의 기본요소이다. ... D는 데이터(data) 또는 delay로 알려져있다. D 플립플롭은 입력 D의 값을 클럭의 엣지(edge)에서 캡처해서 Q에 반영한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2021.04.04 | 수정일 2021.04.14
  • 홍익대 디지털논리실험및설계 8주차 예비보고서 A+
    이 출력값은 D Flip-flop의 입력값 D가 된다. D Flip-flopD의 입력값을 Q에서 그대로 출력하므로 Q는 1을출력할 것이다. ... 이 출력값은 D Flip-flop의 입력값 D가 된다. D Flip-flopD의 입력값을 Q에서 그대로 출력하므로 Q는 다시0을 출력할 것이다. ... 된다.이때 J-K Flip-flop의 CLK는 Active LOW 이기 때문에 1->0으로 변화하는 순간의 값이 저장된다.1.7 D Flip-flop이 아닌 J-K Flip-flop으로
    리포트 | 7페이지 | 1,000원 | 등록일 2023.09.18
  • 논리회로설계실험 9주차 counter설계
    flip flop)위의 schematic은 D flip flop을 이용한 ripple counter의 기본 구조이다. ... ) Ring counter (D flip flop)Ring counter를 D flip flop을 이용하여 structural modeling으로 구현하면 위와 같다. ... 따라서 두번째 D flip flop은 clk이 하강 edge이다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 홍익대_디지털논리회로실험_9주차 예비보고서_A+
    D Flip-flop의 경우, 링 카운터는 맨 마지막 D Flip-flop의 출력 값이 첫 번째 D Flip-flop에 입력되는 시프트 레지스터이다. ... 하지만 존슨 카운터는 맨 마지막 D Flip-flop의 출력을 토글시켜 첫 번째 D Flip-flop에 입력한다. ... 첫번째 D Flip-flop의 출력이 두번째 D Flip-flop의 입력에 연결되어 있고 그 이후에도 마찬가지다.
    리포트 | 6페이지 | 1,500원 | 등록일 2024.05.15
  • 홍익대_디지털논리회로실험_8주차 예비보고서_A+
    K에 를 입력해주면 J-K Flip-flopD Flip-flop와 같은 기능을 하므로 응용실험 (1)의 회로와 같은 기능을 하는 회로를 만들 수 있다.1.7 D Flip-flop이 ... 입력될 때는 D에 입력되는 값이 Q에 출력된다.1.2 D Flip-flop의 동작에 대해 설명하시오D Flip-flop의 작동원리는 Gated D Latch와 매우 유사하다. ... D Flip-flop은 EN 대신 CLK를 가지고 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2024.05.15
  • 디지털 논리실험 10주차 예비보고서
    비동기식 카운터는 첫 번째 D Flip-flop의 CP입력에만 CLK 펄스가 입력되고 앞쪽에 있는 D Flip-flop의 출력 값이 뒤쪽에 있는 D Flip-flop의 CLK으로 들어간다 ... 반면 하나의 펄스가 입력되면 모든 J-K Flip-flop이 동시에 작동하는 동기식 카운터와 달리 비동기 식 카운터는 이전 D Flip-flop의 출력이 다음 D Flip-flop을 ... 우선 모든 Flip-flop의  의 출력 값을 D의 입력 값으로 넣어주었다.
    리포트 | 4페이지 | 2,000원 | 등록일 2023.04.11
  • 홍익대 디지털논리실험및설계 9주차 예비보고서 A+
    PRE’와 CLR’이 Active-LOW이므로 첫 번째 D Flip-flop의 PRE’, CLR’에 0,1을 입력하여 PRE를 활성화시키고 나머지 2,3,4번째 D Flip-flop에는 ... MR’는 D Flip-flop의 clear과 연결되어, 회로를 reset 시킨다. 즉, 강제로 0을 출력하게 한다. ... 11101D Flip-flop을 이용하여 결선한 4-bit Serial-in Parallel-out 시프트 레지스터는 CLK가 0->1이 될 때마다 D가 Q0으로 출력되고 Qn의 출력이
    리포트 | 5페이지 | 1,000원 | 등록일 2023.09.18
  • 컴퓨터 구조 계산기_quartus 설계_2024
    나온 Q=1 값은 첫 번째 D Flip-Flop의 입력으로 들어간다. D Flip-Flop은 시스템 CLK에 동기되어 상승에지에서 입력 값을 출력 값으로 내보낸다. ... 이때 D Flip-Flop의 /Q1 값은 0으로 출력되어 JK Flip-Flop의 CLR로 들어가 그 값을 초기화시킨다. ... 이 둘을 동기화하기 위해 손으로 입력하는 스위치인 비동기 입력 신호 start 신호를 JK Flip-Flop CLK에 넣어주고 JK Flip-Flop의 CLR을 첫 번째 D Flip-Flop
    리포트 | 17페이지 | 2,000원 | 등록일 2024.06.07
  • 홍익대 디지털논리실험및설계 10주차 예비보고서 A+
    0001D Flip-flopD의 입력을 Q로 바로 출력하고, 그러므로 Q’는 D의 변환된 값이다. ... edge triggered D Flip-flop은 negative edge triggered D Flip-flop의 CLK에 NOT 게이트를 결선한 것과 같다고 할 수 있다. ... CLK가 1->0이 될 때 첫 번째 Flip-flop이 작동한다. 그리고 n-1번째 Flip-flop의 Q가 1->0이 될 때 n번째 Flip-flop이 작동한다.
    리포트 | 6페이지 | 1,000원 | 등록일 2023.09.18
  • 논리회로설계실험 7주차 Flip flop 설계
    강의에서 다룬 SR flip flopD flip flop의 modeling 방법을 참고하여 구현하였다. ... 맨 위의 그래프는 CLK의 그래프로 10ns 마다 1->0, 0->1로 변한다. ... 주어진 skeleton code에서 clk는 10ns 마다 1->0, 0->1로 변한다.
    리포트 | 6페이지 | 3,000원 | 등록일 2023.09.11
  • 충북대 기초회로실험 플립플롭의 기능 예비
    D flip-flop은 RS flip-flop을 기본구조로 하여 만들어졌다. ... Flip flopD latch와 D flip-flop은 단일입력(D:데이터)을 갖고 있지만 출력은 두 개다. ... JK flip flop에서 J는 RS flip flop의 S의 역할을 하고, K는 R의 역할을 한다.D flip flop - RS flip flop에서 S 입력을 NOT 게이트를 거쳐서
    리포트 | 3페이지 | 1,000원 | 등록일 2021.09.10
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:34 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대