• 파일시티 이벤트
  • LF몰 이벤트
  • 유니스터디 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(32)
  • 리포트(31)
  • 방송통신대(1)

"Spartan-6 프로그램" 검색결과 21-32 / 32건

  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 초기 사용법 입니다.)
    최근 도입된 Spartan-3 제품군을 통해 업계 최저가의 가격대로 FPGA를 제공함으로써 Xilinx는 6년 전에 형성된 추진력을 계속 이어나가고 있다. 90 nm SRAM 기술을 ... 실 험 소 개 (Introduction)1) Purpose of the Experiment이 실험에서는 Xilinx ISE 9.2i 프로그램Spartan-3 board의 사용방법을 ... 이러한 비용 절감으로 인해 프로그램 가능 로직의 유연성과 시장 출시기간 단축이품군 출시 이래로 Xilinx는 거의 매년 향상된 Spartan 제품군을 꾸준하게 제공해 왔다.
    리포트 | 19페이지 | 2,000원 | 등록일 2008.09.28
  • computational chemistry 예비보고서
    계산화학에 쓰이 는 프로그램은 Gaussian xx, GAMESS, MOPAC, Spartan, Sybyl 등이 있는데, Schrodinger 식을 통해 원자와 분자의 수학적 모델을 ... 6개선형 분자 : 3N - 5개* N : 분자를 구성하고 있는 원소의 수4) Gaussian Program(1) Z-matrix- 분자 내에서 각각 원자들을 분자 내 다른 원자들과의 ... Z-matrix는 Shoder’s Z-matrix conversion tool을 통해 데카르트 좌표로 쉽게 전환 할 수 있어 매우 큰 분자들에서도 가능하다.6.
    리포트 | 13페이지 | 2,000원 | 등록일 2012.05.05
  • VHDL The Usage of Xilinx ISE on Spartan-3(자일링스 사용법 Post lab)
    6.3i 시리즈 활용, EDA-Lab 3000 시리즈 활용, 개정판, 김재철, 홍릉과학출판사, 2005년 ... 실 험 소 개 (Introduction)1) Purpose of the Experiment이 실험에서는 Xilinx ISE 9.2i 프로그램Spartan-3 board의 사용방법을 ... 그것은 Spartan-3 보드를 컴퓨터에 인식시켜 제대로 동작하게 하는 방법을 확실하게 알지 못하고 있었던 것이다.
    리포트 | 8페이지 | 2,000원 | 등록일 2008.09.28
  • VHDL코드를 이용한 spartan-3 보드 구현(spartan 보드 사용법)
    전자전기컴퓨터설계실험ⅢThe Usage of Xilinx ISE on Spartan-3목 차 HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 ... Specify the experiemental results obtained in the class in detail.< 이번 실험에 사용 되었던 SPARTAN-3E Board의 모습 ... (off, on, off, off)즉, 4 에서의 LED 모습스위치 입력 (off, on, off,on)즉, 5에서의 LED 모습스위치 입력 (off, on, on, off)즉, 6
    리포트 | 7페이지 | 2,000원 | 등록일 2008.06.01
  • <VHDL>Pre lab - BCD to Excess3 code converter !! (A+리포트 보장)
    기능적 또는 알고리듬적 표현- 고급언어를 사용한 프로그램 작성과 유사- 문서화를 위해서 우수- VHDL의 process문 사용▶ Dataflow or RTL Modeling- 각 문장이 ... BCD-to Excess-3 code converter on Spartan B/D1) Project 생성후 New Source Wizard 설정2) VHDL coding 후 SAVE ... Behavioral simulation(Functional simulation) 과 Timing simulation 수행7) bit 파일 생성 및 에뮬레이션6.
    리포트 | 28페이지 | 3,000원 | 등록일 2009.06.29
  • VHDL Decoder and Encoder(prelab 입니다) xilinx vhdl
    예를 들어보면 아래와 같다.,입력 신호 : 0101+0011--------------1000 ----- --위의 그림에서 알 수 있듯이 입력으로 0101이 들어가게 되면, 프로그램에서 ... 여기서 enable 신호는 Spartan 보드의 4개 버튼 중에서 3개 버튼을 이용하여 넣어주게 되며, 4bit 입력신호는 4개의 switch로 입력을 넣어주게 된다. ... 원래의 Decoder 정의대로 하자면 출력 단자는 16개가 되어야 하지만, Spartan Board의 LED가 8개 밖에 없는 관계로 8개의 출력 단자로 16개의 출력 결과를 나타내어야
    리포트 | 11페이지 | 2,000원 | 등록일 2008.09.28
  • 계산화학 - computational 예비
    계산화학에 쓰이는 프로그램은 Gaussian xx, GAMESS, MOPAC, Spartan, Sybyl 등이 있는데, Schrodinger 식을 통해 원자와 분자의 수학적 모델을 ... : 분자를 구성하고 있는 원소의 수hyperchem 프로그램을 통해 확인 가능4) Z-matrix의 소개Z-matrix는 계산화학문제를 푸는데 도움을 주고, 분자내의 각각의 원자의 ... 방법을 통해 우리가 예측한 분자의 구조와 에너지 등의 정보를 제공해 준다.3) 분자의 진동 모드의 수분자의 진동 모드는, 그 분자를 구성하고 있는 원자의 수(N)에 관련된다.3N-6N
    리포트 | 7페이지 | 1,000원 | 등록일 2009.05.31
  • VHDL코드를 이용한 MUX and DEMUX 구현(multiplexer and demiltiplexer)
    실험 도구personal computer, Xilinx ISE 9.2i program, Spartan-3 Board, JTAG cables이론 및 프리랩PRELABDescribe the ... 실험 목적이번 실험은 MUX와 DEMUX에 대해 상세하게 알아보고, Xilinx프로그램을 활용하여 VHDL코드로 2x1 MUX와 74LS138 1x8 DEMUX구현하는 것이다. ... D0, D1, D2, D3 중 하나를 선택하여 그 값을 출력 Y로 보낸다.IC로 만들어진 MUX는 다음과 같다.※ single는 1개, dual은 2개, quad는 4개, hex는 6개를
    리포트 | 18페이지 | 2,000원 | 등록일 2008.09.23
  • [물리화학실험]계산화학(Computational Chemistry)
    계산화학에 쓰이는 프로그램은 Gaussian xx, GAMESS, MOPAC, Spartan, Sybyl 등이 있는데, Schrodinger 식을 통해 원자와 분자의 수학적 모델을 ... ♣주의사항① 소수점 단위로 꼭 기록할 것.예> 100 (x)=> 100.0(o)② Route section 정의 시예> HF/6-31 g (x)=> HF/6-31g (o)③ Optimization ... 실험도구- Gaussian98W- Hyperchem- 참고할 프로그램 : Chem 3D5.
    리포트 | 5페이지 | 2,000원 | 등록일 2008.04.16 | 수정일 2020.12.24
  • FPGA를 이용한 신호등구현
    FPGA는 Field Programmable Gate Arrary의 약자로 일반 사용자가 프로그램 가능한 Gate Array라고 할 수 있다. ... 學士學位論文『FPGA를 이용한 신호등 구현』指導敎授 정 원 기全 州 大 學 校情 報 通 信 工 學金 聖 晩, 張 玉 峻2006 年 6 月 20 日전주대학교 정보통신과Ⅰ.서론반도체 기술의 ... series(30k~40k Gates)와 Spartan Ⅱ Series(50k~200k Gates)를 사용할 수 있도록 하였다.?
    리포트 | 52페이지 | 1,500원 | 등록일 2007.08.08
  • [건축가] 베르나르 츄미(Bernard Tschumi)
    Technology(EPFL) Extention, Lausanne, Switerland World Meteorological Organization(OMM), Geneva, Switerland “Spartan ... 이와는 대조적으로 라 빌레뜨공원은 도시의 요구와 한계에 모두 관련된 통합적 프로그램의 원칙들을 표현하고 있다.• 간사이 국제공항 (Kansai International Airport ... House, Princeton, New Jersey 1982년 Parc de la villette, paris, France (completion date:1995) Folie 6:
    리포트 | 30페이지 | 2,500원 | 등록일 2008.05.19
  • VHDL코드를 이용한 해밍코드decoder, 오류검출및 정정
    통해 해밍코드 decoder를 구현하고, 이를 실험으로 검증하는 것이다.실험 도구personal computer, Xilinx ISE 9.2i program, Spartan-3 Board ... HYPERLINK \l "실험목적" 실험 목적 HYPERLINK \l "실험도구" 실험 도구 HYPERLINK \l "이론및프리랩" 이론 및 프리랩실험 목적이번 실험에서는 xilinx프로그램을 ... -- Uncomment the following library declaration if instantiating---- any Xilinx primitives in this code
    리포트 | 17페이지 | 2,500원 | 등록일 2008.09.20
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 04일 수요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
8:26 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대