• 파일시티 이벤트
  • LF몰 이벤트
  • 서울좀비 이벤트
  • 탑툰 이벤트
  • 닥터피엘 이벤트
  • 아이템베이 이벤트
  • 아이템매니아 이벤트
  • 통합검색(544)
  • 리포트(543)
  • 논문(1)

"TB. CASE STUDY" 검색결과 21-40 / 544건

  • A+골절케이스(OS, 고관절치환술, 간호과정3, 간호진단3, 간호사정 등)
    2021학년도 2학기성인간호학 실습OS Case Study- Closed fracture (Fx) of acetabulum -학번성명실습기관(병동)실습기간A. ... @08:00혈압강하제두통, 어지러움, 소화불량, 심계항진본태성 고혈압Gaspiran 5mg/T(영진)=> 지참약대체1 TB *02 PO소화제두드러기, 발진, 부종, 복부팽만감속쓰림 ... , 구역, 수토Jardiance 10mg/T(베링거인겔하임)1 TB *01 PO @18:00당뇨병용제감염, 미각이상, 가려움증, 배뇨 증가제 2형 당뇨병Glucophage 500mg
    리포트 | 19페이지 | 3,000원 | 등록일 2023.01.24
  • 성인간호학, COPD(만성폐쇄성폐질환) Case Study(케이스스터디), 분비물 축적과 관련된 비효과적 기도 청결
    성인간호Case Study과목담당교수님실습지부서학번/이름실습기간CASE 4(내과계_COPD)General Information성명: 김 OO연령: 63세성별: M결혼상태: 기혼교육정도 ... 과거질환: 호흡기질환시기: 20XX:진단명: TB(Tuberculosis)? ... 20XX년 XX월 본원 내과에서 pneumonia, 200XX년 타병원에서 TB 진단받은 과거력 있음?
    리포트 | 19페이지 | 2,500원 | 등록일 2022.12.01
  • (아동실습 A+ 보장드립니다) 아동간호학 CASE STUDY _ 신생아 황달 _ 간호진단 3개 및 자세한 간호중재 5개 이상
    아동간호학CASE STUDY< 신생아 황달 >1. ... TB 수치 증가는 고빌리루빈혈증을 유발하기 때문이다.3. 수유량이 부족하며 황달이 발생한거라면, 탈수가 쉽게 진행된다. ... 14:37질병과정5월 30일 출생 당일, 전반적인 피부건조와 겨드랑이 발적이 관찰되었습니다.6월 2일 첫 Total Bilirubin 검사 결과 10.6mg/dL에서 6월 3일 TB
    리포트 | 19페이지 | 2,500원 | 등록일 2024.06.06 | 수정일 2024.06.07
  • [정신간호학] A+ 우울증 간호진단 3개, 간호과정 3개(수면장애, 만성적 자존감 저하, 사회적 고립)
    부록 24사례관리(Case Study)가. ... 1 Tb bid알프람정 0.5mg 1 Tb bid드록틴캡슐 30mg 1 Tb bid10. ... 처방에 따라 약물을 투여한다.● 수면제졸피람정10mg h,s졸민정0.25mg h,s라제팜정 1mg 2 Tb tid●진정제명인 부스피론염산염정 10mg 1 Tb bid알프람정 0.5mg
    리포트 | 27페이지 | 3,000원 | 등록일 2021.11.08 | 수정일 2021.11.09
  • 편집형 정신분열병(paranoid schizophrenia) case study 간호진단1개
    CASE STUDY01-1. ... 정신건강간호학CASE STUDY실습병동00대학병원 (00병동)담당교수신00 교수님제출일2016-07-14조원(학과/학번/이름)간호학과A0000000강00문헌내용질환명paranoid ... Schizophrenia (편집형 조현병)(4) 가족력3) 신체상태 검진# V/S : 140/80-108-20-36.8# P/E : 174cm/76kg# 위생상태 : 양호하지 않음# TB
    리포트 | 14페이지 | 2,500원 | 등록일 2023.05.17
  • A++ 성인 폐암 문헌고찰 및 간호과정(CASE STUDY) / 간호진단 3개 평가까지 포함 할인자료
    Case Study폐암실습기간 :실습병동 :학번 :이름 :담당교수님 :목차Ⅰ. 서론▶연구의 필요성 및 목적Ⅱ. ... ▶Case Study1.간호력1)일반정보ㆍ장○○ㆍ나이 : 57세ㆍ입원경로 : 외래ㆍ입원방법 : 도보ㆍ키 : 168.5cm 몸무게 : 70kgㆍ혈액형 : B+2)입원동기 및 주증상ㆍ입원동기 ... : 평소 특이 증상없이 건강하게 지내던 환자로 건강검진상 CXR상에 mass check되어 W/U위해 입원함ㆍ주증상 : mild dyspnea3)과거력 : pul tb/HTN/DM
    리포트 | 18페이지 | 3,000원 (5%↓) 2850원 | 등록일 2024.04.12
  • [조현병] 정신간호학실습 CASE STUDY A+++
    CASE STUDY- Schizophrenia -실 습 장 소교 과 목담당교수님실 습 기 수제 출 일 자학번 / 이름목차Ⅰ. 서론 11. 연구의 필요성 12. 문헌고찰 1Ⅱ. ... -> 1TB * 02 PO (공격적 행동 있을 시에는 IM으로 투여 가능)Proimer 5mg -> 1TB * 01 PO? ... 약물 요법 :Clozaril 100mg -> H*S 01 POBenzotropine 2mg -> 1TB * 01 POMago 500mg -> 1TB * 01 POAtivan 0.5mg
    리포트 | 31페이지 | 3,000원 | 등록일 2020.11.13 | 수정일 2022.04.29
  • [A+ 케이스] 조기진통 케이스 _ 여성간호학실습 케이스
    Case Conference[여성건강간호학실습1]조기 진통과목교수님학교/전공학번이름제출일사례보고서(Case Study)1. ... □HBsAg □VDRL ■기타남편 ■없음 □HIV □TB □HBsAg □VDRL □기타과거 입원력 □무 ■유타병원 입원력 ■무 □유3) 가족력질환고혈압: 무 당뇨: 무 결핵: 무 ... 조기진통 가능성으로 tocolytics 쓰면서 경과관찰 위해 입원함2) 과거 병력 및 수술력(병 이름, 수술명 및 최초 진단 또는 수술받은 날짜)[산과 과거력]산모 □없음 □HIV □TB
    리포트 | 27페이지 | 2,500원 | 등록일 2024.01.24
  • 성인간호 컨퍼런스 우수자료, COPD (만성폐쇄성폐질환), 간호진단 3개&간호과정 1개
    CASE STUDY* History Taking대상자명김OO나이65성별M입원 날짜2020.10.08입원 경로외래혈액형O+종교불교직업무직교육정도초졸키157.5cm체중49.2kgBP132 ... 성인간호 실습 case study진단명 : COPD (만성폐쇄성폐질환)A+받은 실습 컨퍼런스 자료입니다!환자 사정(lab수치도 있음!!)+ 간호진단 3개+ 간호과정 1개1. ... s/p discectomy 1996.05)#Lt distal femur r/o osteochonaroma (s/p excision op 2010.05)#Rt. hip OA3년 전 TB
    리포트 | 4페이지 | 2,500원 | 등록일 2021.07.13 | 수정일 2021.12.29
  • case study-아동간호학실습(신생아 황달-간호진단/과정2개)
    CASE STUDY과목 : 아동간호학 실습제출 일자 : 2018.12.07Ⅰ. 서론- 연구대상 및 이유Ⅱ. ... -빌리루빈 수치 검사를 통해 빌리루빈 수치를 확인함.12.03 -TB:16.312.04 -TB:15.512.05 -TB:14.0간호평가(간호 목표 및수행에 대한 평가달성: 빌리루빈 ... :16.312.04 -TB:15.512.05 -TB:14.0고빌리루빈혈증을 검사한다.4) 간호 진단간호 문제 목록번호간호 문제발생일종료일1신생아 황달2018.12.012018.12.062영양장애
    리포트 | 12페이지 | 2,000원 | 등록일 2020.03.03 | 수정일 2020.03.23
  • IM(내과) study case DCMP (Dilated cardiomyopathy) -확장성 심근병증
    DCMP (Dilated cardiomyopathy)학부(과)간호학과담당교수학번이름실습기간Case Study학번: 이름:실습지: 실습기간: 2018.06.11~2018.06.15A. ... TB *02아침저녁식후 30분 PO for 70days본원2018.04.12Lasix 40mg/T (한독) 0.50 TB*01 아침식후 30분 PO for 70days본원2018.04.22Diabex ... 울혈 심부전Vastinan 35mg/T1 TB혈관확장제1) 신경계: 어지러움, 두통 2)소.
    리포트 | 19페이지 | 5,000원 | 등록일 2019.10.27 | 수정일 2022.06.20
  • [아동간호학 실습] 가와사키/가와사키병 실습 보고서 casestudy A+ 간호진단 3개
    아동간호학 실습 보고서CASE 진단명 :가와사키병교과목명 :실습병원명 :담당교수 :제출일자 :학 번 :이 름 :▣ 입원아동 간호사례 연구 (Case Study)1. ... 이때 대부분 환아의 활력징후 양상은 정상범위 내에 들어왔지만, 해당 케이스 환아의 경우 38℃가 넘는 고열과 더불어 혈압이 다소 높아져 있는 것을 관찰할 수 있었다. ... 따라서 이번 case를 통해 가와사키병에 대해 더 자세히 연구해보고자 한다.2.
    리포트 | 23페이지 | 3,000원 | 등록일 2022.03.18
  • [A+] 뇌경색 케이스 간호진단3개 간호과정3개 Case Study Cerebral Infarction NIHSS 포함
    Case Study뇌경색Cerebral Infarction실습병동분반 / 조실습 기간학번이름목차1. 간호력2. 질병의 기술 ? Cerebral Infarction3. ... cream(Terbinafine Hydrochloride) 15g10/4~1TB [APPLY, rub] bid기생성 피부질환용제. ... (Mupirocin) 10g10/4~1TB [APPLY, rub] bid피부과용 항감염제(항생제) 만성 림프부종 부위의 연조직염 치료작열감, 동통, 가려움, 발진 등의 피부 과민반응Muzonal
    리포트 | 15페이지 | 2,000원 | 등록일 2024.02.16
  • 제왕절개 케이스, 간호과정3개 (핵이득)/ A+ 제일중요한 간호과정!!
    외과적 절개와 관련된 급성통증4.참고문헌3.CASE STUDY?간호사정1. ... Cesarean section(제왕절개)과 목 명담당교수님실습 기관실습 기간학 과학 번이 름제 출 일목차3.CASE STUDY-간호사정(자료수집)-진단검사-투약(약물조사)-간호과정# ... Tb(-). Hepatitis(-)④ 가족병력 HTN(-). DM(-). Tb(-). Hepatitis(-)2.
    리포트 | 17페이지 | 1,500원 | 등록일 2022.07.21
  • [성인간호학]A+받은 결핵케이스, 간호진단 2개, 간호과정 2개
    Study1.간호사정? ... 1학기 성인간호학을 공부하며 Tuberculosis에 대해 배울 수 있었으며, 그것을 바탕으로 선정된 대상자에게 좀 더 능동적인 간호를 해줄 수 있을 것이라는 생각에 이 대상자를 케이스스터디 ... 성인간호학실습(2)-TB(Tuberculosis)-과목성인간호학실습(2)담당교수님학과학번이름제출일자목차Ⅰ.사례선정 동기 및 목적Ⅱ.문헌고찰1.정의2.병태생리3.원인4.감염경로5.증상6
    리포트 | 26페이지 | 2,500원 | 등록일 2022.03.29
  • 제왕절개 간호진단3개&간호과정 2개 A+ 받은 과제
    CASE STUDY- 제왕절개술 -의미 있는 자료간호진단진단주관적 자료“수술 부위가 너무 아파요”“원래 이렇게 아픈가요?? 언제까지 아파요??” ... 처방에 따라 약물을 투여하였다.- Tylenol-ER 650mg/T*2TB 투여5. 편안한 체위를 취하도록 하였다.[교육적]6. ... keep- 2021.04.12. headache 호소- 2021.04.14. vaginal bleeding 있음(붉은색으로 소량 묻어난다 함)- Tylenol-ER 650mg/T*2TB
    리포트 | 7페이지 | 2,500원 | 등록일 2021.05.31
  • 성인간호학 케이스스터디(case study)-TB(폐결핵)-간호진단2,간호계획6,이론적근거6~)
    Case Study사례명: 폐결핵(Pulmonary tuberculosis)10/2110/2210/2310/2410/25SEEEE과목명성인간호학실습Ⅱ제출자1735005 권OO실습기관대구의료원 ... 만약 질병이 활동 중이면 건락화(caseation, 치즈화)와 염증이 X-선상에 나타난다. 폐결핵 병소의 크기와 모양, 정도가 사람에 따라 다 다를 수 있다. ... /분 호흡: 18회/분입원시 체중/키체중: 53kg 신장: 171cm입원 시 주호소dyspnea, chest pain, weakness현재 주호소#anemia #pulmonary TB
    리포트 | 19페이지 | 2,500원 | 등록일 2022.06.25
  • 성인간호학실습 - A+/내과/IM/당뇨/만성신장질환/투석/늑막삼출/케이스스터디/성인간호학
    성인간호학 실습IM Case Study실습 기간실습지과목학번담당교수이름- DM, ESRD on HD -간호사례연구 보고서보고서 작성 학생 학번 이름:간호사례연구 대상자의 진단명: DM ... vaginal hysterectomy (LAVH) 시행현재 Premina 0.625mg 1 TB *01 PO4. ... *02 POLasix 40mg 1 TB *02 PO- Cervix cancer20XX년 XX월 Cervix cancer 진단20XX년 XX월 laparoscopic-assisted
    리포트 | 54페이지 | 3,000원 | 등록일 2023.03.16 | 수정일 2023.03.22
  • [A+보장]성인간호학실습 만성 신부전(CRF) 간호, 간호진단, 간호과정, 컨퍼런스, 케이스스터디 19페이지 자료입니다.
    Case Study1. ... Case Study1. 일반사항2. 신체검진3. LAB4. 약물요법Ⅲ. 간호과정Ⅳ. 참고문헌Ⅰ. 문헌고찰1. 신장(Kidney)의 해부·생리? ... 요골맥박 인공심박동기 착용 여부 ■무 □유혈압 100/60 mmHg 측정부위 상박2) 과거력HTN (+) DM (+)-20년전 진단, PO용 혈당강하제만 복용, Insulin X Tb
    리포트 | 20페이지 | 2,000원 | 등록일 2024.04.21 | 수정일 2024.04.24
  • [A+보장] 성인간호학실습 척수손상(Spinal cord injury) 컨퍼런스, 케이스스터디, 간호진단, 간호과정 11페이지 자료입니다.
    CASE STUDY1. ... CASE STUDY1. 일반사항2. 가계도 및 가족 병력3. 신체검진4. 진단적 검사5. 투약Ⅲ. 간호과정Ⅳ. 참고문헌Ⅰ. ... 철결핍성빈혈, 빈혈, 부종Platelet210K/uL-148~400↑만성백혈병, 진성다혈구증, 출혈, 골절↓급성백혈병, 재생불량성빈혈, shockESR34mm/h▲0~9↑Active Tb
    리포트 | 11페이지 | 1,500원 | 등록일 2023.10.04 | 수정일 2023.11.17
AI 챗봇
2024년 09월 01일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:03 오후
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대