• 통큰쿠폰이벤트-통합
  • 통합검색(49)
  • 리포트(46)
  • 시험자료(2)
  • 논문(1)

"TRAFFIC LIGHT CONTROLLER" 검색결과 21-40 / 49건

  • 민간경비의 역사와 전문화
    ) • 보안조사 ( Security Surveys) • 주차 , 교통통제 , 교신 , 보안수송 ( Parking, Traffic Control, Communications, and ... Devices and Equipment) • 주변경계 출입구와 로비 ( Perimeter Boundaries, Gates, and Lobbies) • 보안등 ( Protective Lighting ... Security Transportation) 기밀정보의 보호 • 통제 ( Control) • 인식 ( Identification) • 민감도 ( Sensitivity) 비상관리 •
    리포트 | 26페이지 | 2,000원 | 등록일 2017.06.24
  • Traffic controller (using VHDL)
    Analyze the source codes of traffic lights controller. ... ( 3 downto 0 );-- Traffic light sideway controls a signal for each traffic light in sideway--3 : Red ... - By segments, The traffic lights module is basically counted and the traffic lightsare run.- When push
    리포트 | 20페이지 | 6,000원 | 등록일 2012.04.04 | 수정일 2014.06.01
  • 논리회로실험_VHDL을 이용한 신호등 설계
    Object- Using the traffic lights module mounted on HBE-COMBO II, implement traffic lights controller ... easily accessible in our lives.- Traffic light sequence is shown below.- The behavior of traffic light ... It controls all actions of the traffic lights system.
    리포트 | 25페이지 | 3,000원 | 등록일 2011.07.06 | 수정일 2017.06.21
  • logical circuit 을 이용한 신호등 구현
    Clock 현재 시간 계산기 : 회로가 작동된 시점부터 현재 시간을 계속 계산 신호 컨트롤러 : 매 시간마다 적절한 신호를 Traffic Light 에 출력구현내용 2- 출력 신 ... 호 컨트롤 회로 Traffic Light 출력회로 ( 신호 출력 및 깜박임 ) 7-Segment 출력회로 ( 보행 자 신호 카운트다운 ) 경고 음 출력 회로 - 신호 컨트롤 회로에서 ... 분주 회로 (Clock 속도를 1Hz 로 변경 )신호 컨트롤 회로 세부내용 2( 신호 컨트롤 회로 ) Input (comparator1 의 값에 따라 적절한 Traffic Light
    리포트 | 18페이지 | 1,000원 | 등록일 2012.05.05
  • [영문, 국문 에세이] 영어의 글로벌 언어로서의 위상. 중국어와 비교한 에세이 (english as a universal language)
    Pilots and air traffic controllers speak English at all international airports. ... Maritime traffic uses flag and light signals, but "if vessels needed to communicate verbally, they would
    리포트 | 5페이지 | 무료 | 등록일 2015.01.05 | 수정일 2021.12.19
  • verilog를_이용한_신호등_설계_파형_분석_및_고찰
    module traffic_light_controller(clk, rst, x, hwy_R, hwy_Y, hwy_G, fwy_R, fwy_Y, fwy_G);input clk, rst
    리포트 | 6페이지 | 1,500원 | 등록일 2011.12.18
  • 김재석 디지털 논리, 신호등, staffic light controller, STLC, Flowian 프로젝트
    Smart traffic light controller(STLC)2009145017 김영필2011142177 이지민김재석 교수님Ⅰ. ... yellow light가 켜짐.HRhightway에 red light가 켜짐.LGlocal road에 green light가 켜짐.LYlocal road에 yellow light가 ... 켜짐.LRlocal road에 red light가 켜짐.PR건널목에 red light가 켜짐PG건널목에 green light가 켜짐st0현재 상태를 나타내는 변수st1현재 상태를
    리포트 | 14페이지 | 2,000원 | 등록일 2012.07.23
  • English as a Universal Language
    traffic uses flag and light signals, but “if vesselsce of about 300 million people through English broadcast.가장 ... controllers speak English at all international airports.모든 국제공항에서 비행조종사들과 항공 교통 통제관들은 영어로 말한다.Maritime ... communication language of the international airwaves is English.여행과 국제적인 방송전파의 소통 언어는 영어이다.Pilots and air traffic
    리포트 | 5페이지 | 2,000원 | 등록일 2014.06.03
  • 호주의 ITS(사례를 중심으로 이론설명까지)
    , Transport EditorDecember 16, 2002Buses will soon be capable of turning traffic lights green as they ... light syht up in traffic jams.주립 교통은 작년에 있었던 4% 저렴한 연료 가격과 자동차 친화적인 정부의 정책 때문에 버스들이 종종 교통 혼잡에 노출된다는 ... Management(교통관리)6Trad Control Facilities2) Local ControllersSCATS Local Controllers는 4가지의 모드(Masterlink
    리포트 | 34페이지 | 4,500원 | 등록일 2010.12.17
  • verilog FSM
    실험목적신호등의 상태를 나타내는 Traffic light controller+ left 설계를 통해서 순차회로를 실생활에서 이용할 수 있고 Traffic light controller ... 신호등 모듈2.Source Codemodule Traffic_light_with_left(CLK,Reset,TA,TB,LA,LB);//모듈 및 포트선언input CLK,Reset; ... 차량감지OutputLA4bitAcademic Ave의 신호등LB4bitBravado Blvd의 신호등(2)module configuration구분이름설명moduleTraffic_light_with_left좌회전
    리포트 | 23페이지 | 1,500원 | 등록일 2010.12.21
  • 연세대학교 디지털논리 김재석교수님 프로젝트
    Conclusions&coments이번 프로젝트에서는 state diagram을 이용한 Smart Traffic Light Controller를 설계해 보았는데, 처음 예상대로 그렸던 ... 디지털 논리회로 프로젝트(smart traffic line controller)2011142270 김정기2011142092 박동현●목차A. ... ->spc(1)S1->S2 : S1상태에서 Yellow light가 10초(2clock)가 지날 때 까지 기다린 뒤 S2로 넘어 가면서 HR에 불이 들어오고, LG와 PG에 불이 들어온다
    리포트 | 33페이지 | 3,000원 | 등록일 2012.09.17 | 수정일 2014.05.15
  • VHDL을 이용한 신호등 설계 프로젝트
    실험의 목표VHDL을 이용하여, HBE-COMBO II 보드에 장착되어 있는 Traffic Light Module을 제어하여, 신호등 컨트롤러를 구현한다.① 교차로에서 차량신호등과, ... 소프트웨어 전체 구성도- TOP Traffic에서 전체 모듈을 총괄하며, TOP Traffic에서 사용하는 Process들을 따로 지정하여 두고 사용하였다. ... 그 역할을 간단히 정리하여 보면 아래와 같다.TOP Traffic총괄 : 여러개의 components를 연결하여 전체적인 Process를 총괄한다.Process1직진신호 발생 : go
    리포트 | 16페이지 | 1,500원 | 등록일 2010.12.16
  • finite state machine design(결과)
    And anytime if the key is 0, it goes to the stop state.(5) Designing traffic light controller① Waveform② ... light controller is operated when the clock is synchronized. ... Delay Matrix③ Verilog Codemodule traffic_control(clk, C, HL);input clk;input C;output [5:0] HL;reg [
    리포트 | 14페이지 | 1,000원 | 등록일 2011.07.09
  • 세스나 172 비행기에대한 분석, 아날리시스 에세이를 연습한 글
    These three instruments are very important for pilots and Air Traffic Controllers (ATC). ... It combines not only smoothness and lightness of control, but also it adds the comfort of four-place ... ATCs use altitude, air speed, and vertical air speed to separate air traffic.
    리포트 | 5페이지 | 1,000원 | 등록일 2013.03.27
  • 081014 예타 설명자료(진흥재단)[1]
    , 적응순항제어장치) ○ ISO15623 FVCWS(Forward Vehicle Collision Avoidance System, 전방차량충돌경고장치) ○ TS15624 TIWS(Traffic ... SystemBraking Control SystemDGPS3D Laser ScannerStopping Position SensorSteering Control System.Control ... , AFLS : Adaptive Front Lighting System, APAS : Automatic Parking Assist System, CDM : Collision Damage
    리포트 | 18페이지 | 4,300원 | 등록일 2012.02.23
  • 전기전자기초실험 FSM(Finite State Machine) Design 결과보고서
    Designing traffic light controllerWaveform of traffic light controllerTime delay of traffic light controller ... Make a table that differs in the waiting time of traffic light.StateDescriptionS0Highway GREENFarm REDS1Highway ... Vehicle speed controllerWaveform of Vehicle speed controllerTime delay of Vehicle speed controller- codemodule
    리포트 | 13페이지 | 1,000원 | 등록일 2009.09.08
  • 초음파 센서를 이용한 시각 장애인 지팡이
    guidance system offers the information of traffic light using a speaker to switch. ... Using Ultrasonic RFID Electronic Walking Cane for The Blind2011 / 10 / 6 Department of System Control ... able to recognize the distance between obstacles and himself as it used a vibration system Current traffic
    리포트 | 22페이지 | 2,500원 | 등록일 2012.07.01
  • decoder를 이용한 각종 시스템 구현
    light controller실험결과□ Minterm1. ... Light Controller를 구현하였다.- Decoder는 하나의 데이터를 받았을 때 거기에 따라 할당되어지는 output값을 출력하게 되는 시스템이다. ... light controller- 이것 역시 Minterm module을 이용하여 각각의 output 변수에 결과값을 연산하여 조건에 맞는 신호를 A1(.X(X),.M(M));or
    리포트 | 7페이지 | 1,000원 | 등록일 2009.05.07
  • 인공위성 위성통신에 대하여
    Global Positioning System Control segment44 Ⅵ. ... – R sin θ] R = radius of the earth h = orbital altitude Θ = satellite elevation angle c = speed of light ... Global Positioning System Space segment Control segment User segment42 Ⅵ.
    리포트 | 55페이지 | 2,000원 | 등록일 2010.06.05
  • English as a Universal LAnguage
    controllers speak English at all international airports.국제공항에서 파일럿과 관제탑 직원은 영어를 쓴다.Maritime traffic ... Maritime traffic uses flag and light signals, but "if vessels needed to communicate verbally, they would ... uses flag and light signals, but "if vessels needed to communicate verbally, they would find a common
    리포트 | 11페이지 | 1,000원 | 등록일 2010.01.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 17일 화요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
4:19 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대