• 통큰쿠폰이벤트-통합
  • 통합검색(247)
  • 리포트(238)
  • 시험자료(8)
  • 자기소개서(1)

"boolean logic" 검색결과 21-40 / 247건

  • 2021년 디지털공학개론_논리 기호의 해석 방법과 5가지 Standard 논리게이트 심볼의 대치 논리 게이트 심볼을 그리시고 표준기호로부터 대치기호를 구하는 방법을 설명하시오. (1)
    이러한 논리회로는 부울대수(Boolean algebra)와 카르노 맵(Karnaugh mapping)을 사용하여 간략화 할 수 있다.여기서 카르노 맵은 논리 방정식이나 진리표를 간략화 ... 논리 기호의 해석 방법.디지털 회로를 만드는데 있어서 논리 게이트(logic gate)는 가장 기본적인 요소이다. ... 설명.Standard 논리게이트 심볼 대치 논리 게이트 심볼대부분의 회로에서는 표준논리기호(AND, OR INV, NAND, NOR)가 사용되지만 표준기호와 함께 대치논리기호(alternative logic
    리포트 | 4페이지 | 3,000원 | 등록일 2021.11.24
  • [논리회로실험] 실험1. Basic Gates 예비보고서
    실험목적1) Logic gates에 대해 이해한다.2) Boolean equation과 De Morgan의 법칙에 대해 이해한다.2. ... 실험이론1) Logic gate란- 디지털 회로의 기본적인 요소 부문- AND, OR, XOR, NOT, NAND, NOR, XNOR 등 7가지- 서로 다른 전압으로 표현하기위해 전압을
    리포트 | 9페이지 | 1,500원 | 등록일 2023.05.27
  • 기계공학응용실험-PLC응용실험(A+)
    순차적으로 제어하는 것실험에 앞서 PLC의 래더 다이어그램의 기본이 되는 디지털 논리체계의 이해를 위한 불대수와 기초연산자, 로직의 단순화 방법 등에 대해 살펴본다.(1) 불대수(Boolean ... 실험 목적자동화된 기계시스템은 사용자나 센서의 압력을 받아 정해진 로직(Logic)이나 가동순서에 따라 모터, 솔레노이트, 스위치와 같은 출력장치를 제어하는 시스템이다. ... PLC(Programmable Logic Controller)는 이러한 컴퓨터나 제어기 역할을 손쉽게 필요한 제어로직을 설계할 수 있도록 제작된 제어기의 일종이다.
    리포트 | 5페이지 | 3,000원 | 등록일 2021.03.21 | 수정일 2021.05.13
  • [예비보고서] 7.논리함수와 게이트
    expression으로 표현된 logic function을 찾으면 다음과 같다. ... 회로도는 다음과 같다.B1B0T3T2T1T00*************0011110111Output인 Thermometer code를 Input인 Binary code를 이용하여 Boolean
    리포트 | 4페이지 | 1,000원 | 등록일 2023.01.03
  • [A+] 부산대 기계공학응용실험 PLC 및 모터제어 보고서
    SFC가 있다.PLC의 동작원리는 외부 신호를 입력 릴레이를 움직이고, 입력릴레이의 접점에서 내부 시퀸스를 움직이고, 출력 릴레이의 동작을 보인 후 외부의 부하를 움직인다.1) 불대수(Boolean ... 실험 목적자동화된 기계시스템은 사용자(user)나 센서의 입력을 받아 정해진 로직(logic)이나 가동순서에 따라 모터, 솔레노이드, 스위치와 같은 출력장치를 제어하는 시스템이다. ... 컨베이어 시스템에서 물체의 특성에 따라 센서의 입력을 달리하여 입력의 상태에 따라 공압 밸브를 이용해 물체를 분류하는 실험을 PLC 로직 프로그램인 래더 로직 다이어그램(ladder logic
    리포트 | 9페이지 | 2,000원 | 등록일 2022.09.06
  • 기계공학응용실험 A+, 9장 PLC 응용실험
    이론적 배경실험에 앞서 PLC의 래더 다이어그램의 기본이 되는 디지털 논리체계의 이해를 위한 불대수와 기초연산자, 로직의 단순화 방법 등에 대해 살펴본다.(1) 불대수(Boolean ... PLC (Programmable Logic Contorller)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 ... 컨베이어 시스템에서 물체의 특성에 따라 센서의 입력을 달리하여 입력의 상태에 따라 공압밸브를 이용해 물체를 분류하는 실험을 PLC 로직 프로그램인 래더 로직 다이어그램(ladder logic
    리포트 | 5페이지 | 1,000원 | 등록일 2020.01.03
  • 디지털 논리회로의 전압특성과 지연시간 예비레포트
    실험 이론모든 디지털 기기들은 디지털 논리회로에서 배운 부울대수(Boolean Algebra)의 AND, OR, NOT의 3개로 연산하는 장치이다. ... 그리고 높은 전압을 논리 1로 처리하는 것을 정의 논리(Positive Logie)라 하고, 낮은 전압을 논리 1로 처리하는 것을 부의 논리(Negative Logic)라고 한다. ... 그림 22-2(a)는 입력이 2개인 기본적인 TTL(Transistor-Transistor Logic) NAND Gate이며, 22-2(b)는 CMOS(Complementary Metal
    리포트 | 3페이지 | 1,000원 | 등록일 2022.04.28
  • 기계공학응용실험 보고서 'PLC실험'(A+) - 부산대학교 기계공학부
    이론적 배경실험에 앞서 PLC의 래더 다이어그램의 기본이 되는 디지털 논리체계의 이해를 위한 불대수와 기초 연산자, 로직의 단순화 방법 등에 대해 살펴본다.1) 볼대수(Boolean ... PLC(Programmable Logic Controller)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한 ... 컨베이어 시스템에서 물체의 특성에 따라 센서의 입력을 달리하여 입력의 상태에 따라 공압 밸브를 이용해 물체를 분류하는 실험을 PLC 로직 프로그램인 래더 로직 다이어그램(ladder logic
    리포트 | 7페이지 | 1,500원 | 등록일 2020.12.21 | 수정일 2020.12.26
  • 논리회로실험 예비보고서1
    -Boolean equation : 위의 논리게이트에 ()로 명시한 부분이며 논리회로의 형태와 구조를 기술하기 위하여 필요한 수학적인 이론이다. ... 이때 0과 1의 Logic value는 이진 디지트(binary digit) 또는 비트(bit)라고 불린다. ... 실험에 대한 이론-Logic gates>AND gate:두 입력값이 모두 참일 경우에만 결과값이 참이 나오게 된다.
    리포트 | 8페이지 | 1,500원 | 등록일 2020.09.18
  • 2019년 하반기 ASML cs엔지니어 합격자소서
    ‘디지털공학’ 수업 중 배운 Boolean function/Karno map과 ‘회로이론’ 중 배운 이론들이 회로 도식을 하는 데 도움이 되었습니다.하지만 가상설계와는 다르게 실제로는 ... 하지만 저에게 실제 설계도는 너무 낯설었기 때문에, Logic diagram에 있는 Gate들을 찾기가 쉽지가 않았습니다. ... 그 중 설계도를 분석하는 과제는 당시 수강 중인 VLSI 설계과목을 실제 반도체 칩을 보며 적용할 좋은 기회였습니다.우선 CD4801B의 Data sheet를 찾았고, 칩의 logic
    자기소개서 | 5페이지 | 3,000원 | 등록일 2022.03.13
  • 서강대학교 21년도 디지털논리회로실험 3주차 결과레포트 (A+자료) - Decoder, 7-Segment Display
    A와 Y는 8비트 시그널로, STD_LOGIC_VECTOR라는 data type이다. ... .- 표시장치(display devices)의 동작원리를 이해한다.이론3.1 Combinational circuit design설계하려는 회로에 대한 논리식은 Boolean Algebra에 ... 의해 최적화할 수 있다.Boolean Algebra하지만 일일이 대수적 방법으로 변환하는 것보다 Karnaugh map을 이용하는 것이 더 효율적이다.카르노 맵은 Truth table을
    리포트 | 34페이지 | 2,000원 | 등록일 2022.09.18
  • 전공영어 레포트
    designations most often used, however, particularly in the mathematical manipulation of switching of logic ... 고르시오.flip-flop, register, resistor, digital, analog, numeric code, alphanumeric code, BCD code, binary cell, Boolean
    리포트 | 36페이지 | 3,000원 | 등록일 2021.05.16
  • 전기및디지털회로실험 실험6 예비보고서
    AND가 O력되는 불 대수(boolean algebra)의 값이 입력값에 의해서만 정해지는 논리 회로인 조합 논리 회로(combination logical circuit)로 연산하는
    리포트 | 16페이지 | 1,000원 | 등록일 2023.06.30
  • 정보처리기사요약(2.전자계산기구조)
    불 대수(Boolean Algebra)① X+0=X② X?0=0③ X+1=1④ X?1=X⑤ X+X=X⑥ X?X=X ⑦X+OVERLINE X = 1⑧X? ... 논리회로의 분류1) 조합논리회로(Combinational logic circuit)― 회로의 출력 값이 입력 값에 의해서만 정해지는 논리회로로서 기억능력이 없다. ... 전자계산기구조제 1 장 논리회로(Logic Circuit)― 2진 정보를 기반으로 AND, OR, NOT 등과 같은 논리 연산에 따라 동작을 수행하는 논리소자들을 사용하여 구성된 전자회로
    시험자료 | 16페이지 | 3,500원 | 등록일 2021.05.24
  • 강릉원주대학교 스마트폰 앱의 논리적 이해 기말고사 정리
    Data Type) [Built-in]-[Logic] (사용 예) 전역변수 만들고 참조하기 -프로그램 문장 ① sum = 0; ② average = 0; ③ v1 = 50; ④ v2 ... Math] 실수형 자료형 (Real Data Type) (사용 예) 문자형 자료형 (Character Data Type) [Built-in]-[Text] (사용 예) 불리언 자료형 (Boolean
    리포트 | 60페이지 | 2,000원 | 등록일 2022.05.30 | 수정일 2023.07.14
  • 9. PLC 및 모터제어 실험
    이론적 배경(1) 불대수(Boolean algebra)불대수란 2진 변수와 논리동작을 기술하는 대수를 말한다. ... PLC(Programmable Logic Controller)는 이러한 컴퓨터나 제어기 역할을 수행하는 장치로 컴퓨터제어에 대한 기본지식이 없는 현장, 공장의 작업자가 손쉽게 필요한
    리포트 | 13페이지 | 1,000원 | 등록일 2019.09.15
  • 아주대학교 논리회로실험 실험1 Basic Gates 결과보고서
    원하는 출력 값을 얻을 수 있도록 각 gate를 조합해보는 실험이었다.Boolean equation은 Logic gate를 수식으로 표현을 한 것이다. ... AND gate는 Boolean식으로 F = A·B 으로표현되며 모든 입력이 1일 때 1이 출력이 된다. ... OR gate의 Boolean식은 �좫 = A+B�� 이며, 입력 값 중 어느 하나라도 1이면 1이 출력되는 기능을 가지고 있다.
    리포트 | 7페이지 | 1,500원 | 등록일 2019.02.20
  • 기초회로실험1 Lab 12 Full Adder Report
    과정logic lab unit, quadruple 칩을 사용하여 회로를 구성하고 전압을 가했다.logic lab unit: 빵판과 여러 기능으로 구성된 유닛anode: LED가 0일 ... positive AND Gate7432칩: quadruple 2-input positive OR Gate7408칩: quadruple 2-input exclusive OR Gate위 회로는 Boolean ... 결과를 볼 수 있었다.입력출력AB1CinC0S00*************10111010001101101101011111* DISCUSSION & CONCLUSION몇 개의 칩과 logic
    리포트 | 5페이지 | 2,000원 | 등록일 2019.08.01
  • 디지털논리회로실험(Verilog HDL) - Numbers and Displays
    functions using Boolean expressions. ... Decoder : Popular combinational logic building block, in addition to logic gates- Converts input binary ... a Boolean expression.2) Write a Verilog file that provides the necessary functionality.
    리포트 | 11페이지 | 1,000원 | 등록일 2019.08.29
  • [디지털공학] "아날로그와 디지털, 샘플링, 부울대수, 드모르간의 법칙, 최소항, 최대항" 레포트
    Boolean (Equation)4. ... 변화 시키는 소자로서 HIGH 레벨이 NOT 회로에 입력되면, LOW 레벨이 출력에 나타나고, LOW 레벨이 NOT 회로에 입력되면, HIGH 레벨이 출력에 나타난다.부울 대수(Boolean ... 디지털 집적회로 디바이스의 로직 패밀리는 일반적으로 호환 가능한 Logic level 및 family 내의 논리 게이트 이다.
    리포트 | 10페이지 | 1,000원 | 등록일 2019.03.25 | 수정일 2019.04.01
  • 아이템매니아 이벤트
  • 유니스터디 이벤트
AI 챗봇
2024년 09월 15일 일요일
AI 챗봇
안녕하세요. 해피캠퍼스 AI 챗봇입니다. 무엇이 궁금하신가요?
5:12 오전
문서 초안을 생성해주는 EasyAI
안녕하세요. 해피캠퍼스의 방대한 자료 중에서 선별하여 당신만의 초안을 만들어주는 EasyAI 입니다.
저는 아래와 같이 작업을 도와드립니다.
- 주제만 입력하면 목차부터 본문내용까지 자동 생성해 드립니다.
- 장문의 콘텐츠를 쉽고 빠르게 작성해 드립니다.
9월 1일에 베타기간 중 사용 가능한 무료 코인 10개를 지급해 드립니다. 지금 바로 체험해 보세요.
이런 주제들을 입력해 보세요.
- 유아에게 적합한 문학작품의 기준과 특성
- 한국인의 가치관 중에서 정신적 가치관을 이루는 것들을 문화적 문법으로 정리하고, 현대한국사회에서 일어나는 사건과 사고를 비교하여 자신의 의견으로 기술하세요
- 작별인사 독후감
방송통신대학 관련 적절한 예)
- 국내의 사물인터넷 상용화 사례를 찾아보고, 앞으로 기업에 사물인터넷이 어떤 영향을 미칠지 기술하시오
5글자 이하 주제 부적절한 예)
- 정형외과, 아동학대